data/yosys-0.9/.clang-format: ASCII text data/yosys-0.9/.dockerignore: ASCII text, with CRLF line terminators data/yosys-0.9/.editorconfig: ASCII text data/yosys-0.9/.github/issue_template.md: ASCII text data/yosys-0.9/.gitignore: ASCII text data/yosys-0.9/.travis.yml: ASCII text data/yosys-0.9/.travis/build-and-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/.travis/common.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/.travis/deploy-after-success.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/.travis/setup.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/Brewfile: ASCII text data/yosys-0.9/CHANGELOG: ASCII text data/yosys-0.9/COPYING: ASCII text data/yosys-0.9/CodeOfConduct: ASCII text data/yosys-0.9/CodingReadme: ASCII text data/yosys-0.9/Dockerfile: ASCII text data/yosys-0.9/README.md: Dyalog APL transfer data/yosys-0.9/backends/aiger/Makefile.inc: ASCII text data/yosys-0.9/backends/aiger/aiger.cc: C source, ASCII text data/yosys-0.9/backends/blif/Makefile.inc: ASCII text data/yosys-0.9/backends/blif/blif.cc: C source, ASCII text data/yosys-0.9/backends/btor/Makefile.inc: ASCII text data/yosys-0.9/backends/btor/btor.cc: C source, ASCII text data/yosys-0.9/backends/btor/test_cells.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/backends/edif/Makefile.inc: ASCII text data/yosys-0.9/backends/edif/edif.cc: C source, ASCII text data/yosys-0.9/backends/edif/runtest.py: Python script, ASCII text executable data/yosys-0.9/backends/firrtl/.gitignore: ASCII text data/yosys-0.9/backends/firrtl/Makefile.inc: ASCII text data/yosys-0.9/backends/firrtl/firrtl.cc: C source, ASCII text data/yosys-0.9/backends/firrtl/test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/backends/firrtl/test.v: ASCII text data/yosys-0.9/backends/ilang/Makefile.inc: ASCII text data/yosys-0.9/backends/ilang/ilang_backend.cc: C source, ASCII text data/yosys-0.9/backends/ilang/ilang_backend.h: C++ source, ASCII text data/yosys-0.9/backends/intersynth/Makefile.inc: ASCII text data/yosys-0.9/backends/intersynth/intersynth.cc: C source, ASCII text data/yosys-0.9/backends/json/Makefile.inc: ASCII text data/yosys-0.9/backends/json/json.cc: C source, ASCII text data/yosys-0.9/backends/protobuf/.gitignore: ASCII text data/yosys-0.9/backends/protobuf/Makefile.inc: ASCII text data/yosys-0.9/backends/protobuf/protobuf.cc: C source, ASCII text data/yosys-0.9/backends/simplec/.gitignore: ASCII text data/yosys-0.9/backends/simplec/Makefile.inc: ASCII text data/yosys-0.9/backends/simplec/simplec.cc: C source, ASCII text data/yosys-0.9/backends/simplec/test00.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/backends/simplec/test00_tb.c: C source, ASCII text data/yosys-0.9/backends/simplec/test00_uut.v: ASCII text data/yosys-0.9/backends/smt2/.gitignore: ASCII text data/yosys-0.9/backends/smt2/example.v: ASCII text data/yosys-0.9/backends/smt2/example.ys: ASCII text data/yosys-0.9/backends/smt2/smt2.cc: C++ source, ASCII text data/yosys-0.9/backends/smt2/smtbmc.py: Python script, ASCII text executable data/yosys-0.9/backends/smt2/smtio.py: Python script, ASCII text executable data/yosys-0.9/backends/smt2/test_cells.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/backends/smt2/Makefile.inc: ASCII text data/yosys-0.9/backends/smv/Makefile.inc: ASCII text data/yosys-0.9/backends/smv/smv.cc: C source, ASCII text data/yosys-0.9/backends/smv/test_cells.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/backends/spice/Makefile.inc: ASCII text data/yosys-0.9/backends/spice/spice.cc: C source, ASCII text data/yosys-0.9/backends/table/Makefile.inc: ASCII text data/yosys-0.9/backends/table/table.cc: C source, ASCII text data/yosys-0.9/backends/verilog/Makefile.inc: ASCII text data/yosys-0.9/backends/verilog/verilog_backend.cc: C source, ASCII text data/yosys-0.9/examples/aiger/.gitignore: ASCII text data/yosys-0.9/examples/aiger/README: ASCII text data/yosys-0.9/examples/aiger/demo.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/aiger/demo.v: ASCII text data/yosys-0.9/examples/anlogic/.gitignore: ASCII text data/yosys-0.9/examples/anlogic/README: ASCII text data/yosys-0.9/examples/anlogic/build.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/anlogic/build.tcl: ASCII text data/yosys-0.9/examples/anlogic/demo.adc: ASCII text data/yosys-0.9/examples/anlogic/demo.v: ASCII text data/yosys-0.9/examples/anlogic/demo.ys: ASCII text data/yosys-0.9/examples/basys3/README: ASCII text data/yosys-0.9/examples/basys3/example.v: ASCII text data/yosys-0.9/examples/basys3/example.xdc: ASCII text data/yosys-0.9/examples/basys3/run.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/basys3/run_prog.tcl: ASCII text data/yosys-0.9/examples/basys3/run_vivado.tcl: ASCII text data/yosys-0.9/examples/basys3/run_yosys.ys: ASCII text data/yosys-0.9/examples/cmos/.gitignore: ASCII text data/yosys-0.9/examples/cmos/README: ASCII text data/yosys-0.9/examples/cmos/cmos_cells.lib: ASCII text data/yosys-0.9/examples/cmos/cmos_cells.sp: ASCII text data/yosys-0.9/examples/cmos/cmos_cells.v: ASCII text data/yosys-0.9/examples/cmos/cmos_cells_digital.sp: ASCII text data/yosys-0.9/examples/cmos/counter.v: ASCII text data/yosys-0.9/examples/cmos/counter.ys: ASCII text data/yosys-0.9/examples/cmos/counter_digital.ys: ASCII text data/yosys-0.9/examples/cmos/counter_tb.gtkw: ASCII text data/yosys-0.9/examples/cmos/counter_tb.v: ASCII text data/yosys-0.9/examples/cmos/testbench.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/cmos/testbench.sp: BSD makefile script, ASCII text data/yosys-0.9/examples/cmos/testbench_digital.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/cmos/testbench_digital.sp: BSD makefile script, ASCII text data/yosys-0.9/examples/cxx-api/demomain.cc: C source, ASCII text data/yosys-0.9/examples/cxx-api/evaldemo.cc: C source, ASCII text data/yosys-0.9/examples/gowin/.gitignore: ASCII text data/yosys-0.9/examples/gowin/README: ASCII text data/yosys-0.9/examples/gowin/demo.cst: ASCII text data/yosys-0.9/examples/gowin/demo.sdc: ASCII text data/yosys-0.9/examples/gowin/demo.v: ASCII text data/yosys-0.9/examples/gowin/run.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/gowin/testbench.v: ASCII text data/yosys-0.9/examples/igloo2/.gitignore: ASCII text data/yosys-0.9/examples/igloo2/example.pdc: ASCII text data/yosys-0.9/examples/igloo2/example.sdc: ASCII text data/yosys-0.9/examples/igloo2/example.v: ASCII text data/yosys-0.9/examples/igloo2/libero.tcl: ASCII text data/yosys-0.9/examples/igloo2/runme.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/intel/DE2i-150/quartus_compile/de2i.qpf: ASCII text data/yosys-0.9/examples/intel/DE2i-150/quartus_compile/de2i.qsf: ASCII text data/yosys-0.9/examples/intel/DE2i-150/quartus_compile/runme_quartus: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/intel/DE2i-150/run_cycloneiv: ASCII text data/yosys-0.9/examples/intel/DE2i-150/sevenseg.v: ASCII text data/yosys-0.9/examples/intel/DE2i-150/top.v: ASCII text data/yosys-0.9/examples/intel/MAX10/run_max10: ASCII text data/yosys-0.9/examples/intel/MAX10/runme_postsynth: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/intel/MAX10/sevenseg.v: ASCII text data/yosys-0.9/examples/intel/MAX10/top.v: ASCII text data/yosys-0.9/examples/intel/asicworld_lfsr/README: ASCII text data/yosys-0.9/examples/intel/asicworld_lfsr/lfsr_updown.v: ASCII text data/yosys-0.9/examples/intel/asicworld_lfsr/lfsr_updown_tb.v: ASCII text data/yosys-0.9/examples/intel/asicworld_lfsr/run_cycloneiv: a /bin/env bash script, ASCII text executable data/yosys-0.9/examples/intel/asicworld_lfsr/run_max10: a /bin/env bash script, ASCII text executable data/yosys-0.9/examples/intel/asicworld_lfsr/runme_postsynth: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/intel/asicworld_lfsr/runme_presynth: Bourne-Again shell script, ASCII text executable data/yosys-0.9/examples/osu035/.gitignore: ASCII text data/yosys-0.9/examples/osu035/Makefile: ASCII text data/yosys-0.9/examples/osu035/example.constr: ASCII text data/yosys-0.9/examples/osu035/example.v: ASCII text data/yosys-0.9/examples/osu035/example.ys: ASCII text data/yosys-0.9/examples/python-api/.gitignore: ASCII text data/yosys-0.9/examples/python-api/pass.py: Python script, ASCII text executable data/yosys-0.9/examples/python-api/script.py: Python script, ASCII text executable data/yosys-0.9/examples/smtbmc/.gitignore: ASCII text data/yosys-0.9/examples/smtbmc/Makefile: makefile script, ASCII text data/yosys-0.9/examples/smtbmc/demo1.v: ASCII text data/yosys-0.9/examples/smtbmc/demo2.v: ASCII text data/yosys-0.9/examples/smtbmc/demo3.smtc: ASCII text data/yosys-0.9/examples/smtbmc/demo3.v: ASCII text data/yosys-0.9/examples/smtbmc/demo4.smtc: ASCII text data/yosys-0.9/examples/smtbmc/demo4.v: ASCII text data/yosys-0.9/examples/smtbmc/demo5.v: ASCII text data/yosys-0.9/examples/smtbmc/demo6.v: ASCII text data/yosys-0.9/examples/smtbmc/demo7.v: ASCII text data/yosys-0.9/examples/smtbmc/demo8.v: ASCII text data/yosys-0.9/frontends/aiger/Makefile.inc: ASCII text data/yosys-0.9/frontends/aiger/aigerparse.cc: C source, ASCII text data/yosys-0.9/frontends/aiger/aigerparse.h: C source, ASCII text data/yosys-0.9/frontends/ast/Makefile.inc: ASCII text data/yosys-0.9/frontends/ast/ast.cc: C++ source, ASCII text data/yosys-0.9/frontends/ast/ast.h: C++ source, ASCII text data/yosys-0.9/frontends/ast/dpicall.cc: C source, ASCII text data/yosys-0.9/frontends/ast/simplify.cc: C source, ASCII text data/yosys-0.9/frontends/ast/genrtlil.cc: C source, ASCII text data/yosys-0.9/frontends/blif/Makefile.inc: ASCII text data/yosys-0.9/frontends/blif/blifparse.cc: C source, ASCII text data/yosys-0.9/frontends/blif/blifparse.h: C source, ASCII text data/yosys-0.9/frontends/ilang/.gitignore: ASCII text data/yosys-0.9/frontends/ilang/Makefile.inc: ASCII text data/yosys-0.9/frontends/ilang/ilang_frontend.cc: C source, ASCII text data/yosys-0.9/frontends/ilang/ilang_frontend.h: C++ source, ASCII text data/yosys-0.9/frontends/ilang/ilang_lexer.l: C source, ASCII text data/yosys-0.9/frontends/ilang/ilang_parser.y: C++ source, ASCII text data/yosys-0.9/frontends/json/Makefile.inc: ASCII text data/yosys-0.9/frontends/json/jsonparse.cc: C source, ASCII text data/yosys-0.9/frontends/liberty/Makefile.inc: ASCII text data/yosys-0.9/frontends/liberty/liberty.cc: C source, ASCII text data/yosys-0.9/frontends/verific/Makefile.inc: ASCII text data/yosys-0.9/frontends/verific/README: ASCII text data/yosys-0.9/frontends/verific/example.sby: ASCII text data/yosys-0.9/frontends/verific/example.sv: ASCII text data/yosys-0.9/frontends/verific/verific.cc: C source, ASCII text data/yosys-0.9/frontends/verific/verific.h: C source, ASCII text data/yosys-0.9/frontends/verific/verificsva.cc: C source, ASCII text data/yosys-0.9/frontends/verilog/.gitignore: ASCII text data/yosys-0.9/frontends/verilog/Makefile.inc: ASCII text data/yosys-0.9/frontends/verilog/const2ast.cc: C source, ASCII text data/yosys-0.9/frontends/verilog/preproc.cc: C source, ASCII text data/yosys-0.9/frontends/verilog/verilog_frontend.cc: C source, ASCII text data/yosys-0.9/frontends/verilog/verilog_frontend.h: C++ source, ASCII text data/yosys-0.9/frontends/verilog/verilog_lexer.l: C++ source, ASCII text data/yosys-0.9/frontends/verilog/verilog_parser.y: C++ source, ASCII text data/yosys-0.9/kernel/bitpattern.h: C source, ASCII text data/yosys-0.9/kernel/calc.cc: C source, ASCII text data/yosys-0.9/kernel/cellaigs.cc: C source, ASCII text data/yosys-0.9/kernel/cellaigs.h: C source, ASCII text data/yosys-0.9/kernel/celledges.cc: C source, ASCII text data/yosys-0.9/kernel/celledges.h: C++ source, ASCII text data/yosys-0.9/kernel/celltypes.h: C source, ASCII text data/yosys-0.9/kernel/consteval.h: C source, ASCII text data/yosys-0.9/kernel/cost.h: C source, ASCII text data/yosys-0.9/kernel/hashlib.h: C++ source, ASCII text data/yosys-0.9/kernel/macc.h: C source, ASCII text data/yosys-0.9/kernel/modtools.h: C source, ASCII text data/yosys-0.9/kernel/register.cc: C source, ASCII text data/yosys-0.9/kernel/register.h: C++ source, ASCII text data/yosys-0.9/kernel/rtlil.cc: C source, ASCII text data/yosys-0.9/kernel/rtlil.h: C++ source, ASCII text data/yosys-0.9/kernel/satgen.h: C++ source, ASCII text data/yosys-0.9/kernel/sigtools.h: C++ source, ASCII text data/yosys-0.9/kernel/utils.h: C++ source, ASCII text data/yosys-0.9/kernel/yosys.h: C++ source, ASCII text data/yosys-0.9/kernel/driver.cc: C source, ASCII text data/yosys-0.9/kernel/log.cc: C source, ASCII text data/yosys-0.9/kernel/log.h: C++ source, ASCII text data/yosys-0.9/kernel/yosys.cc: C source, ASCII text data/yosys-0.9/libs/bigint/.gitignore: ASCII text data/yosys-0.9/libs/bigint/BigInteger.cc: C++ source, ASCII text data/yosys-0.9/libs/bigint/BigInteger.hh: C++ source, ASCII text data/yosys-0.9/libs/bigint/BigIntegerAlgorithms.cc: C source, ASCII text data/yosys-0.9/libs/bigint/BigIntegerAlgorithms.hh: C source, ASCII text data/yosys-0.9/libs/bigint/BigIntegerLibrary.hh: C source, ASCII text data/yosys-0.9/libs/bigint/BigIntegerUtils.cc: C source, ASCII text data/yosys-0.9/libs/bigint/BigIntegerUtils.hh: C++ source, ASCII text data/yosys-0.9/libs/bigint/BigUnsigned.cc: C source, ASCII text data/yosys-0.9/libs/bigint/BigUnsigned.hh: C++ source, ASCII text data/yosys-0.9/libs/bigint/BigUnsignedInABase.cc: C source, ASCII text data/yosys-0.9/libs/bigint/BigUnsignedInABase.hh: C++ source, ASCII text data/yosys-0.9/libs/bigint/ChangeLog: UTF-8 Unicode text, with very long lines data/yosys-0.9/libs/bigint/Makefile: makefile script, ASCII text data/yosys-0.9/libs/bigint/NumberlikeArray.hh: C++ source, ASCII text data/yosys-0.9/libs/bigint/README: ASCII text data/yosys-0.9/libs/bigint/run-testsuite: Bourne-Again shell script, ASCII text executable data/yosys-0.9/libs/bigint/sample.cc: C source, ASCII text data/yosys-0.9/libs/bigint/testsuite.cc: C++ source, ASCII text data/yosys-0.9/libs/ezsat/.gitignore: ASCII text data/yosys-0.9/libs/ezsat/Makefile: makefile script, ASCII text data/yosys-0.9/libs/ezsat/README: ASCII text data/yosys-0.9/libs/ezsat/demo_bit.cc: C source, ASCII text data/yosys-0.9/libs/ezsat/demo_cmp.cc: C source, ASCII text data/yosys-0.9/libs/ezsat/demo_vec.cc: C source, ASCII text data/yosys-0.9/libs/ezsat/ezminisat.cc: C source, ASCII text data/yosys-0.9/libs/ezsat/ezminisat.h: C++ source, ASCII text data/yosys-0.9/libs/ezsat/ezsat.cc: C source, ASCII text data/yosys-0.9/libs/ezsat/ezsat.h: C++ source, ASCII text data/yosys-0.9/libs/ezsat/puzzle3d.cc: C source, ASCII text data/yosys-0.9/libs/ezsat/puzzle3d.scad: ASCII text data/yosys-0.9/libs/ezsat/testbench.cc: C source, ASCII text data/yosys-0.9/libs/minisat/00_PATCH_mkLit_default_arg.patch: unified diff output, ASCII text data/yosys-0.9/libs/minisat/00_PATCH_no_fpu_control.patch: unified diff output, ASCII text data/yosys-0.9/libs/minisat/00_PATCH_remove_zlib.patch: unified diff output, ASCII text data/yosys-0.9/libs/minisat/00_PATCH_typofixes.patch: unified diff output, ASCII text data/yosys-0.9/libs/minisat/00_UPDATE.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/libs/minisat/Alg.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/Alloc.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/Dimacs.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/Heap.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/IntMap.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/IntTypes.h: C source, ASCII text data/yosys-0.9/libs/minisat/LICENSE: ASCII text data/yosys-0.9/libs/minisat/Map.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/Options.cc: C source, ASCII text data/yosys-0.9/libs/minisat/Options.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/ParseUtils.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/Queue.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/Rnd.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/SimpSolver.cc: C source, ASCII text data/yosys-0.9/libs/minisat/SimpSolver.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/Solver.cc: C source, ASCII text data/yosys-0.9/libs/minisat/Solver.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/SolverTypes.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/Sort.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/System.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/Vec.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/XAlloc.h: C++ source, ASCII text data/yosys-0.9/libs/minisat/System.cc: C source, ASCII text data/yosys-0.9/libs/sha1/sha1.cpp: C source, ASCII text data/yosys-0.9/libs/sha1/sha1.h: C++ source, ASCII text data/yosys-0.9/libs/subcircuit/.gitignore: ASCII text data/yosys-0.9/libs/subcircuit/Makefile: makefile script, ASCII text data/yosys-0.9/libs/subcircuit/README: ASCII text data/yosys-0.9/libs/subcircuit/demo.cc: C source, ASCII text data/yosys-0.9/libs/subcircuit/scshell.cc: C source, ASCII text data/yosys-0.9/libs/subcircuit/subcircuit.cc: C source, ASCII text data/yosys-0.9/libs/subcircuit/subcircuit.h: C++ source, ASCII text data/yosys-0.9/libs/subcircuit/test_large.spl: a /usr/bin/env splrun script, ASCII text executable data/yosys-0.9/libs/subcircuit/test_macc22.txt: C source, ASCII text data/yosys-0.9/libs/subcircuit/test_mine.txt: C source, ASCII text data/yosys-0.9/libs/subcircuit/test_perm.pl: Perl script text executable data/yosys-0.9/libs/subcircuit/test_shorts.spl: a /usr/bin/env splrun script, ASCII text executable data/yosys-0.9/manual/.gitignore: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/cmos.v: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/cmos_00.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/cmos_01.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/example.v: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/example.ys: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/example_00.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/example_01.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/example_02.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/example_03.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/foobaraddsub.v: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/make.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/memdemo.v: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/memdemo_00.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/memdemo_01.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/primetest.v: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/splice.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/splice.v: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/submod.ys: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/submod_00.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/submod_01.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/submod_02.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/submod_03.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/sumprod.v: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/sumprod_00.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/sumprod_01.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/sumprod_02.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/sumprod_03.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/sumprod_04.dot: ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation/sumprod_05.dot: ASCII text data/yosys-0.9/manual/CHAPTER_Appnotes.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_Approach.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_Auxlibs.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_Auxprogs.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_Basics.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_CellLib.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_Eval.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_Eval/grep-it.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/manual/CHAPTER_Eval/openmsp430.prj: ASCII text data/yosys-0.9/manual/CHAPTER_Eval/openmsp430_ys.prj: ASCII text data/yosys-0.9/manual/CHAPTER_Eval/or1200.prj: ASCII text data/yosys-0.9/manual/CHAPTER_Eval/or1200_ys.prj: ASCII text data/yosys-0.9/manual/CHAPTER_Eval/run-it.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/manual/CHAPTER_Eval/settings.xst: ASCII text data/yosys-0.9/manual/CHAPTER_Intro.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_Optimize.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_Prog.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_Prog/.gitignore: ASCII text data/yosys-0.9/manual/CHAPTER_Prog/Makefile: ASCII text data/yosys-0.9/manual/CHAPTER_Prog/stubnets.cc: C source, ASCII text data/yosys-0.9/manual/CHAPTER_Prog/test.v: ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/always01.v: ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/always01_pub.v: ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/always02.v: ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/always02_pub.v: ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/always03.v: ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/arrays01.v: ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/cmp_tbdata.c: C source, ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/forgen01.v: ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/forgen02.v: ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/iverilog-0.8.7-buildfixes.patch: unified diff output, ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/mvsis-1.3.6-buildfixes.patch: unified diff output, ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/simlib_hana.v: Ruby script, ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/simlib_icarus.v: ASCII text, with very long lines data/yosys-0.9/manual/CHAPTER_StateOfTheArt/simlib_yosys.v: ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/sis-1.3.6-buildfixes.patch: unified diff output, ASCII text data/yosys-0.9/manual/CHAPTER_StateOfTheArt/synth.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/manual/CHAPTER_StateOfTheArt/validate_tb.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/manual/CHAPTER_Techmap.tex: LaTeX document, ASCII text data/yosys-0.9/manual/CHAPTER_Verilog.tex: LaTeX document, ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv.tex: LaTeX document, ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/.gitignore: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/Makefile: makefile script, ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/addshift_map.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/addshift_test.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/addshift_test.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_simple_test.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_simple_test.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_simple_test_01.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_simple_test_02.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_simple_xmap.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_xilinx_swap_map.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_xilinx_test.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_xilinx_test.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_xilinx_wrap_map.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/macc_xilinx_xmap.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/mulshift_map.v: Ruby script, ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/mulshift_test.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/mulshift_test.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/mymul_map.v: Ruby script, ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/mymul_test.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/mymul_test.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/red_or3x1_cells.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/red_or3x1_map.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/red_or3x1_test.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/red_or3x1_test.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/select.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/select.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/sym_mul_cells.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/sym_mul_map.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/sym_mul_test.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExAdv/sym_mul_test.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExOth.tex: LaTeX document, ASCII text data/yosys-0.9/manual/PRESENTATION_ExOth/.gitignore: ASCII text data/yosys-0.9/manual/PRESENTATION_ExOth/Makefile: makefile script, ASCII text data/yosys-0.9/manual/PRESENTATION_ExOth/axis_master.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExOth/axis_test.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExOth/axis_test.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExOth/equiv.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExOth/scrambler.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExOth/scrambler.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn.tex: LaTeX document, ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/.gitignore: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/Makefile: makefile script, ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/abc_01.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/abc_01.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/abc_01_cells.lib: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/abc_01_cells.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/memory_01.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/memory_01.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/memory_02.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/memory_02.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/opt_01.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/opt_01.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/opt_02.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/opt_02.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/opt_03.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/opt_03.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/opt_04.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/opt_04.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/proc_01.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/proc_01.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/proc_02.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/proc_02.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/proc_03.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/proc_03.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/techmap_01.v: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/techmap_01.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_ExSyn/techmap_01_map.v: ASCII text data/yosys-0.9/manual/PRESENTATION_Intro.tex: LaTeX document, ASCII text data/yosys-0.9/manual/PRESENTATION_Intro/.gitignore: ASCII text data/yosys-0.9/manual/PRESENTATION_Intro/Makefile: makefile script, ASCII text data/yosys-0.9/manual/PRESENTATION_Intro/counter.v: ASCII text data/yosys-0.9/manual/PRESENTATION_Intro/counter.ys: ASCII text data/yosys-0.9/manual/PRESENTATION_Intro/mycells.lib: ASCII text data/yosys-0.9/manual/PRESENTATION_Intro/mycells.v: ASCII text data/yosys-0.9/manual/PRESENTATION_Prog.tex: LaTeX document, ASCII text data/yosys-0.9/manual/PRESENTATION_Prog/.gitignore: ASCII text data/yosys-0.9/manual/PRESENTATION_Prog/absval_ref.v: ASCII text data/yosys-0.9/manual/PRESENTATION_Prog/my_cmd.cc: C source, ASCII text data/yosys-0.9/manual/PRESENTATION_Prog/sigmap_test.v: ASCII text data/yosys-0.9/manual/PRESENTATION_Prog/Makefile: makefile script, ASCII text data/yosys-0.9/manual/clean.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/manual/literature.bib: ASCII text, with very long lines data/yosys-0.9/manual/weblinks.bib: ASCII text data/yosys-0.9/manual/APPNOTE_010_Verilog_to_BLIF.tex: LaTeX 2e document, ASCII text data/yosys-0.9/manual/APPNOTE_011_Design_Investigation.tex: LaTeX 2e document, ASCII text data/yosys-0.9/manual/APPNOTE_012_Verilog_to_BTOR.tex: LaTeX 2e document, ASCII text data/yosys-0.9/manual/manual.tex: LaTeX 2e document, ASCII text data/yosys-0.9/manual/CHAPTER_Overview.tex: LaTeX document, ASCII text data/yosys-0.9/manual/command-reference-manual.tex: LaTeX document, ASCII text data/yosys-0.9/manual/appnotes.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/manual/manual.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/manual/presentation.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/manual/presentation.tex: LaTeX 2e document, ASCII text data/yosys-0.9/misc/__init__.py: ASCII text data/yosys-0.9/misc/create_vcxsrc.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/misc/launcher.c: C source, ASCII text data/yosys-0.9/misc/py_wrap_generator.py: Python script, ASCII text executable, with very long lines data/yosys-0.9/misc/yosys-config.in: Bourne-Again shell script, ASCII text executable data/yosys-0.9/misc/yosys.proto: ASCII text data/yosys-0.9/misc/yosysjs/demo01.html: HTML document, ASCII text data/yosys-0.9/misc/yosysjs/demo02.html: HTML document, ASCII text data/yosys-0.9/misc/yosysjs/demo03.html: HTML document, ASCII text data/yosys-0.9/misc/yosysjs/yosysjs.js: HTML document, ASCII text data/yosys-0.9/misc/yosysjs/yosyswrk.js: Java source, ASCII text data/yosys-0.9/passes/cmds/Makefile.inc: ASCII text data/yosys-0.9/passes/cmds/add.cc: C source, ASCII text data/yosys-0.9/passes/cmds/blackbox.cc: C source, ASCII text data/yosys-0.9/passes/cmds/bugpoint.cc: C source, ASCII text data/yosys-0.9/passes/cmds/check.cc: C source, ASCII text data/yosys-0.9/passes/cmds/chformal.cc: C source, ASCII text data/yosys-0.9/passes/cmds/chtype.cc: C source, ASCII text data/yosys-0.9/passes/cmds/connect.cc: C source, ASCII text data/yosys-0.9/passes/cmds/connwrappers.cc: C source, ASCII text data/yosys-0.9/passes/cmds/copy.cc: C source, ASCII text data/yosys-0.9/passes/cmds/delete.cc: C source, ASCII text data/yosys-0.9/passes/cmds/design.cc: C source, ASCII text data/yosys-0.9/passes/cmds/edgetypes.cc: C source, ASCII text data/yosys-0.9/passes/cmds/logcmd.cc: C source, ASCII text data/yosys-0.9/passes/cmds/ltp.cc: C source, ASCII text data/yosys-0.9/passes/cmds/plugin.cc: C source, ASCII text data/yosys-0.9/passes/cmds/qwp.cc: C source, ASCII text data/yosys-0.9/passes/cmds/rename.cc: C source, ASCII text data/yosys-0.9/passes/cmds/scatter.cc: C source, ASCII text data/yosys-0.9/passes/cmds/scc.cc: C source, ASCII text data/yosys-0.9/passes/cmds/select.cc: C source, ASCII text data/yosys-0.9/passes/cmds/setattr.cc: C source, ASCII text data/yosys-0.9/passes/cmds/setundef.cc: C source, ASCII text data/yosys-0.9/passes/cmds/show.cc: C source, ASCII text data/yosys-0.9/passes/cmds/splice.cc: C source, ASCII text data/yosys-0.9/passes/cmds/splitnets.cc: C source, ASCII text data/yosys-0.9/passes/cmds/stat.cc: C source, ASCII text data/yosys-0.9/passes/cmds/tee.cc: C source, ASCII text data/yosys-0.9/passes/cmds/torder.cc: C source, ASCII text data/yosys-0.9/passes/cmds/trace.cc: C source, ASCII text data/yosys-0.9/passes/cmds/write_file.cc: C source, ASCII text data/yosys-0.9/passes/cmds/cover.cc: C source, ASCII text data/yosys-0.9/passes/equiv/Makefile.inc: ASCII text data/yosys-0.9/passes/equiv/equiv_add.cc: C source, ASCII text data/yosys-0.9/passes/equiv/equiv_induct.cc: C source, ASCII text data/yosys-0.9/passes/equiv/equiv_make.cc: C source, ASCII text data/yosys-0.9/passes/equiv/equiv_mark.cc: C source, ASCII text data/yosys-0.9/passes/equiv/equiv_miter.cc: C source, ASCII text data/yosys-0.9/passes/equiv/equiv_opt.cc: C source, ASCII text data/yosys-0.9/passes/equiv/equiv_purge.cc: C source, ASCII text data/yosys-0.9/passes/equiv/equiv_remove.cc: C source, ASCII text data/yosys-0.9/passes/equiv/equiv_simple.cc: C source, ASCII text data/yosys-0.9/passes/equiv/equiv_status.cc: C source, ASCII text data/yosys-0.9/passes/equiv/equiv_struct.cc: C source, ASCII text data/yosys-0.9/passes/fsm/Makefile.inc: ASCII text data/yosys-0.9/passes/fsm/fsm.cc: C source, ASCII text data/yosys-0.9/passes/fsm/fsm_detect.cc: C source, ASCII text data/yosys-0.9/passes/fsm/fsm_expand.cc: C source, ASCII text data/yosys-0.9/passes/fsm/fsm_export.cc: C source, UTF-8 Unicode text data/yosys-0.9/passes/fsm/fsm_extract.cc: C source, ASCII text data/yosys-0.9/passes/fsm/fsm_info.cc: C source, ASCII text data/yosys-0.9/passes/fsm/fsm_map.cc: C source, ASCII text data/yosys-0.9/passes/fsm/fsm_opt.cc: C source, ASCII text data/yosys-0.9/passes/fsm/fsm_recode.cc: C source, ASCII text data/yosys-0.9/passes/fsm/fsmdata.h: C source, ASCII text data/yosys-0.9/passes/hierarchy/Makefile.inc: ASCII text data/yosys-0.9/passes/hierarchy/hierarchy.cc: C source, ASCII text data/yosys-0.9/passes/hierarchy/submod.cc: C source, ASCII text data/yosys-0.9/passes/hierarchy/uniquify.cc: C source, ASCII text data/yosys-0.9/passes/memory/Makefile.inc: ASCII text data/yosys-0.9/passes/memory/memory.cc: C source, ASCII text data/yosys-0.9/passes/memory/memory_bram.cc: C source, ASCII text data/yosys-0.9/passes/memory/memory_collect.cc: C source, ASCII text data/yosys-0.9/passes/memory/memory_dff.cc: C source, ASCII text data/yosys-0.9/passes/memory/memory_map.cc: C source, ASCII text data/yosys-0.9/passes/memory/memory_memx.cc: C source, ASCII text data/yosys-0.9/passes/memory/memory_nordff.cc: C source, ASCII text data/yosys-0.9/passes/memory/memory_share.cc: C source, ASCII text data/yosys-0.9/passes/memory/memory_unpack.cc: C source, ASCII text data/yosys-0.9/passes/opt/Makefile.inc: ASCII text data/yosys-0.9/passes/opt/muxpack.cc: C source, ASCII text data/yosys-0.9/passes/opt/opt.cc: C source, ASCII text data/yosys-0.9/passes/opt/opt_clean.cc: C source, ASCII text data/yosys-0.9/passes/opt/opt_demorgan.cc: C source, ASCII text data/yosys-0.9/passes/opt/opt_expr.cc: C source, ASCII text data/yosys-0.9/passes/opt/opt_lut.cc: C source, ASCII text data/yosys-0.9/passes/opt/opt_merge.cc: C source, ASCII text data/yosys-0.9/passes/opt/opt_muxtree.cc: C source, ASCII text data/yosys-0.9/passes/opt/opt_reduce.cc: C source, ASCII text data/yosys-0.9/passes/opt/opt_rmdff.cc: C source, ASCII text data/yosys-0.9/passes/opt/pmux2shiftx.cc: C source, ASCII text data/yosys-0.9/passes/opt/rmports.cc: C source, ASCII text data/yosys-0.9/passes/opt/share.cc: C source, ASCII text data/yosys-0.9/passes/opt/wreduce.cc: C source, ASCII text data/yosys-0.9/passes/pmgen/.gitignore: ASCII text data/yosys-0.9/passes/pmgen/Makefile.inc: ASCII text data/yosys-0.9/passes/pmgen/README.md: ASCII text data/yosys-0.9/passes/pmgen/ice40_dsp.cc: C source, ASCII text data/yosys-0.9/passes/pmgen/ice40_dsp.pmg: ASCII text data/yosys-0.9/passes/pmgen/peepopt.cc: C source, ASCII text data/yosys-0.9/passes/pmgen/peepopt_muldiv.pmg: ASCII text data/yosys-0.9/passes/pmgen/peepopt_shiftmul.pmg: ASCII text data/yosys-0.9/passes/pmgen/pmgen.py: Python script, ASCII text executable data/yosys-0.9/passes/proc/Makefile.inc: ASCII text data/yosys-0.9/passes/proc/proc.cc: C source, ASCII text data/yosys-0.9/passes/proc/proc_arst.cc: C source, ASCII text data/yosys-0.9/passes/proc/proc_clean.cc: C source, ASCII text data/yosys-0.9/passes/proc/proc_dff.cc: C source, ASCII text data/yosys-0.9/passes/proc/proc_dlatch.cc: C source, ASCII text data/yosys-0.9/passes/proc/proc_init.cc: C source, ASCII text data/yosys-0.9/passes/proc/proc_mux.cc: C source, ASCII text data/yosys-0.9/passes/proc/proc_rmdead.cc: C source, ASCII text data/yosys-0.9/passes/sat/Makefile.inc: ASCII text data/yosys-0.9/passes/sat/assertpmux.cc: C source, ASCII text data/yosys-0.9/passes/sat/async2sync.cc: C source, ASCII text data/yosys-0.9/passes/sat/clk2fflogic.cc: C source, ASCII text data/yosys-0.9/passes/sat/cutpoint.cc: C source, ASCII text data/yosys-0.9/passes/sat/eval.cc: C source, ASCII text data/yosys-0.9/passes/sat/example.v: ASCII text data/yosys-0.9/passes/sat/example.ys: ASCII text data/yosys-0.9/passes/sat/expose.cc: C source, ASCII text data/yosys-0.9/passes/sat/fmcombine.cc: C source, ASCII text data/yosys-0.9/passes/sat/freduce.cc: C source, ASCII text data/yosys-0.9/passes/sat/miter.cc: C source, ASCII text data/yosys-0.9/passes/sat/mutate.cc: C++ source, ASCII text data/yosys-0.9/passes/sat/sat.cc: C source, UTF-8 Unicode text data/yosys-0.9/passes/sat/sim.cc: C source, ASCII text data/yosys-0.9/passes/sat/supercover.cc: C source, ASCII text data/yosys-0.9/passes/techmap/.gitignore: ASCII text data/yosys-0.9/passes/techmap/Makefile.inc: ASCII text data/yosys-0.9/passes/techmap/aigmap.cc: C source, ASCII text data/yosys-0.9/passes/techmap/alumacc.cc: C source, ASCII text data/yosys-0.9/passes/techmap/attrmap.cc: C++ source, ASCII text data/yosys-0.9/passes/techmap/attrmvcp.cc: C source, ASCII text data/yosys-0.9/passes/techmap/deminout.cc: C source, ASCII text data/yosys-0.9/passes/techmap/dff2dffe.cc: C source, ASCII text data/yosys-0.9/passes/techmap/dff2dffs.cc: C source, ASCII text data/yosys-0.9/passes/techmap/dffinit.cc: C source, ASCII text data/yosys-0.9/passes/techmap/dfflibmap.cc: C source, ASCII text data/yosys-0.9/passes/techmap/dffsr2dff.cc: C source, ASCII text data/yosys-0.9/passes/techmap/extract.cc: C++ source, ASCII text data/yosys-0.9/passes/techmap/extract_counter.cc: C source, ASCII text data/yosys-0.9/passes/techmap/extract_fa.cc: C source, ASCII text data/yosys-0.9/passes/techmap/extract_reduce.cc: C source, ASCII text data/yosys-0.9/passes/techmap/filterlib.cc: C source, ASCII text data/yosys-0.9/passes/techmap/flowmap.cc: C source, UTF-8 Unicode text data/yosys-0.9/passes/techmap/hilomap.cc: C source, ASCII text data/yosys-0.9/passes/techmap/insbuf.cc: C source, ASCII text data/yosys-0.9/passes/techmap/iopadmap.cc: C source, ASCII text data/yosys-0.9/passes/techmap/libparse.cc: C source, ASCII text data/yosys-0.9/passes/techmap/libparse.h: C++ source, ASCII text data/yosys-0.9/passes/techmap/lut2mux.cc: C source, ASCII text data/yosys-0.9/passes/techmap/maccmap.cc: C source, ASCII text data/yosys-0.9/passes/techmap/muxcover.cc: C source, ASCII text data/yosys-0.9/passes/techmap/nlutmap.cc: C source, ASCII text data/yosys-0.9/passes/techmap/pmuxtree.cc: C source, ASCII text data/yosys-0.9/passes/techmap/shregmap.cc: C++ source, ASCII text data/yosys-0.9/passes/techmap/simplemap.cc: C source, ASCII text data/yosys-0.9/passes/techmap/simplemap.h: C source, ASCII text data/yosys-0.9/passes/techmap/techmap.cc: C source, ASCII text data/yosys-0.9/passes/techmap/tribuf.cc: C source, ASCII text data/yosys-0.9/passes/techmap/zinit.cc: C source, ASCII text data/yosys-0.9/passes/techmap/abc.cc: C source, ASCII text, with very long lines data/yosys-0.9/passes/tests/Makefile.inc: ASCII text data/yosys-0.9/passes/tests/flowmap/flow.v: ASCII text data/yosys-0.9/passes/tests/flowmap/flowp.v: ASCII text data/yosys-0.9/passes/tests/flowmap/pack1.v: ASCII text data/yosys-0.9/passes/tests/flowmap/pack1p.v: ASCII text data/yosys-0.9/passes/tests/flowmap/pack2.v: ASCII text data/yosys-0.9/passes/tests/flowmap/pack2p.v: ASCII text data/yosys-0.9/passes/tests/flowmap/pack3.v: ASCII text data/yosys-0.9/passes/tests/flowmap/pack3p.v: ASCII text data/yosys-0.9/passes/tests/test_abcloop.cc: C source, ASCII text data/yosys-0.9/passes/tests/test_autotb.cc: C source, ASCII text data/yosys-0.9/passes/tests/test_cell.cc: C source, ASCII text data/yosys-0.9/techlibs/.gitignore: ASCII text data/yosys-0.9/techlibs/achronix/Makefile.inc: ASCII text data/yosys-0.9/techlibs/achronix/speedster22i/cells_arith.v: ASCII text data/yosys-0.9/techlibs/achronix/speedster22i/cells_map.v: ASCII text data/yosys-0.9/techlibs/achronix/speedster22i/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/achronix/synth_achronix.cc: C source, ASCII text data/yosys-0.9/techlibs/anlogic/Makefile.inc: ASCII text data/yosys-0.9/techlibs/anlogic/anlogic_determine_init.cc: C source, ASCII text data/yosys-0.9/techlibs/anlogic/anlogic_eqn.cc: C source, ASCII text data/yosys-0.9/techlibs/anlogic/arith_map.v: ASCII text data/yosys-0.9/techlibs/anlogic/cells_map.v: ASCII text data/yosys-0.9/techlibs/anlogic/cells_sim.v: ASCII text data/yosys-0.9/techlibs/anlogic/dram_init_16x4.vh: CSV text data/yosys-0.9/techlibs/anlogic/drams.txt: ASCII text data/yosys-0.9/techlibs/anlogic/drams_map.v: ASCII text data/yosys-0.9/techlibs/anlogic/eagle_bb.v: ASCII text data/yosys-0.9/techlibs/anlogic/synth_anlogic.cc: C source, ASCII text data/yosys-0.9/techlibs/common/.gitignore: ASCII text data/yosys-0.9/techlibs/common/Makefile.inc: ASCII text data/yosys-0.9/techlibs/common/adff2dff.v: ASCII text data/yosys-0.9/techlibs/common/cellhelp.py: Python script, ASCII text executable data/yosys-0.9/techlibs/common/cells.lib: ASCII text data/yosys-0.9/techlibs/common/cmp2lut.v: ASCII text data/yosys-0.9/techlibs/common/dff2ff.v: ASCII text data/yosys-0.9/techlibs/common/gate2lut.v: ASCII text data/yosys-0.9/techlibs/common/pmux2mux.v: ASCII text data/yosys-0.9/techlibs/common/prep.cc: C source, ASCII text data/yosys-0.9/techlibs/common/simcells.v: ASCII text data/yosys-0.9/techlibs/common/simlib.v: ASCII text data/yosys-0.9/techlibs/common/synth.cc: C source, ASCII text data/yosys-0.9/techlibs/common/techmap.v: ASCII text data/yosys-0.9/techlibs/coolrunner2/Makefile.inc: ASCII text data/yosys-0.9/techlibs/coolrunner2/cells_latch.v: ASCII text data/yosys-0.9/techlibs/coolrunner2/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/coolrunner2/coolrunner2_sop.cc: C source, ASCII text data/yosys-0.9/techlibs/coolrunner2/synth_coolrunner2.cc: C source, ASCII text data/yosys-0.9/techlibs/coolrunner2/tff_extract.v: ASCII text data/yosys-0.9/techlibs/coolrunner2/xc2_dff.lib: ASCII text data/yosys-0.9/techlibs/easic/Makefile.inc: ASCII text data/yosys-0.9/techlibs/easic/synth_easic.cc: C source, ASCII text data/yosys-0.9/techlibs/ecp5/.gitignore: ASCII text data/yosys-0.9/techlibs/ecp5/Makefile.inc: ASCII text data/yosys-0.9/techlibs/ecp5/arith_map.v: ASCII text data/yosys-0.9/techlibs/ecp5/bram.txt: ASCII text data/yosys-0.9/techlibs/ecp5/brams_connect.py: Python script, ASCII text executable data/yosys-0.9/techlibs/ecp5/brams_init.py: Python script, ASCII text executable data/yosys-0.9/techlibs/ecp5/brams_map.v: ASCII text data/yosys-0.9/techlibs/ecp5/cells_bb.v: ASCII text, with very long lines data/yosys-0.9/techlibs/ecp5/cells_map.v: ASCII text data/yosys-0.9/techlibs/ecp5/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/ecp5/dram.txt: ASCII text data/yosys-0.9/techlibs/ecp5/drams_map.v: ASCII text data/yosys-0.9/techlibs/ecp5/ecp5_ffinit.cc: C source, ASCII text data/yosys-0.9/techlibs/ecp5/latches_map.v: ASCII text data/yosys-0.9/techlibs/ecp5/synth_ecp5.cc: C source, ASCII text data/yosys-0.9/techlibs/gowin/Makefile.inc: ASCII text data/yosys-0.9/techlibs/gowin/arith_map.v: ASCII text data/yosys-0.9/techlibs/gowin/bram.txt: ASCII text data/yosys-0.9/techlibs/gowin/brams_init3.vh: ASCII text data/yosys-0.9/techlibs/gowin/brams_map.v: ASCII text data/yosys-0.9/techlibs/gowin/cells_map.v: ASCII text data/yosys-0.9/techlibs/gowin/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/gowin/determine_init.cc: C source, ASCII text data/yosys-0.9/techlibs/gowin/dram.txt: ASCII text data/yosys-0.9/techlibs/gowin/drams_map.v: ASCII text data/yosys-0.9/techlibs/gowin/synth_gowin.cc: C source, ASCII text data/yosys-0.9/techlibs/greenpak4/Makefile.inc: ASCII text data/yosys-0.9/techlibs/greenpak4/cells_blackbox.v: ASCII text data/yosys-0.9/techlibs/greenpak4/cells_latch.v: ASCII text data/yosys-0.9/techlibs/greenpak4/cells_map.v: Ruby script, ASCII text data/yosys-0.9/techlibs/greenpak4/cells_sim.v: ASCII text data/yosys-0.9/techlibs/greenpak4/cells_sim_ams.v: Ruby script, ASCII text data/yosys-0.9/techlibs/greenpak4/cells_sim_digital.v: Ruby script, ASCII text data/yosys-0.9/techlibs/greenpak4/cells_sim_wip.v: Ruby script, ASCII text data/yosys-0.9/techlibs/greenpak4/gp_dff.lib: ASCII text data/yosys-0.9/techlibs/greenpak4/greenpak4_dffinv.cc: C source, ASCII text data/yosys-0.9/techlibs/greenpak4/synth_greenpak4.cc: C source, ASCII text data/yosys-0.9/techlibs/ice40/.gitignore: ASCII text data/yosys-0.9/techlibs/ice40/Makefile.inc: ASCII text data/yosys-0.9/techlibs/ice40/arith_map.v: ASCII text data/yosys-0.9/techlibs/ice40/brams.txt: ASCII text data/yosys-0.9/techlibs/ice40/brams_init.py: Python script, ASCII text executable data/yosys-0.9/techlibs/ice40/brams_map.v: ASCII text data/yosys-0.9/techlibs/ice40/cells_map.v: ASCII text data/yosys-0.9/techlibs/ice40/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/ice40/ice40_braminit.cc: C source, ASCII text data/yosys-0.9/techlibs/ice40/ice40_ffinit.cc: C source, ASCII text data/yosys-0.9/techlibs/ice40/ice40_ffssr.cc: C source, ASCII text data/yosys-0.9/techlibs/ice40/ice40_opt.cc: C source, ASCII text data/yosys-0.9/techlibs/ice40/ice40_unlut.cc: C source, ASCII text data/yosys-0.9/techlibs/ice40/latches_map.v: ASCII text data/yosys-0.9/techlibs/ice40/synth_ice40.cc: C source, ASCII text data/yosys-0.9/techlibs/ice40/tests/.gitignore: ASCII text data/yosys-0.9/techlibs/ice40/tests/test_arith.v: ASCII text data/yosys-0.9/techlibs/ice40/tests/test_arith.ys: ASCII text data/yosys-0.9/techlibs/ice40/tests/test_bram.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/techlibs/ice40/tests/test_bram.v: ASCII text data/yosys-0.9/techlibs/ice40/tests/test_bram_tb.v: ASCII text data/yosys-0.9/techlibs/ice40/tests/test_dsp_map.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/techlibs/ice40/tests/test_dsp_model.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/techlibs/ice40/tests/test_dsp_model.v: ASCII text data/yosys-0.9/techlibs/ice40/tests/test_ffs.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/techlibs/ice40/tests/test_ffs.v: ASCII text data/yosys-0.9/techlibs/intel/Makefile.inc: ASCII text data/yosys-0.9/techlibs/intel/a10gx/cells_arith.v: ASCII text data/yosys-0.9/techlibs/intel/a10gx/cells_map.v: ASCII text data/yosys-0.9/techlibs/intel/a10gx/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/intel/common/altpll_bb.v: ASCII text data/yosys-0.9/techlibs/intel/common/brams.txt: ASCII text data/yosys-0.9/techlibs/intel/common/brams_map.v: ASCII text data/yosys-0.9/techlibs/intel/common/m9k_bb.v: ASCII text data/yosys-0.9/techlibs/intel/cyclone10/cells_arith.v: ASCII text data/yosys-0.9/techlibs/intel/cyclone10/cells_map.v: ASCII text data/yosys-0.9/techlibs/intel/cyclone10/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/intel/cycloneiv/cells_arith.v: ASCII text data/yosys-0.9/techlibs/intel/cycloneiv/cells_map.v: ASCII text data/yosys-0.9/techlibs/intel/cycloneiv/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/intel/cycloneive/arith_map.v: ASCII text data/yosys-0.9/techlibs/intel/cycloneive/cells_map.v: ASCII text data/yosys-0.9/techlibs/intel/cycloneive/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/intel/cyclonev/cells_arith.v: ASCII text data/yosys-0.9/techlibs/intel/cyclonev/cells_map.v: ASCII text data/yosys-0.9/techlibs/intel/cyclonev/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/intel/max10/cells_arith.v: ASCII text data/yosys-0.9/techlibs/intel/max10/cells_map.v: ASCII text data/yosys-0.9/techlibs/intel/max10/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/intel/synth_intel.cc: C source, ASCII text data/yosys-0.9/techlibs/sf2/Makefile.inc: ASCII text data/yosys-0.9/techlibs/sf2/arith_map.v: ASCII text data/yosys-0.9/techlibs/sf2/cells_map.v: ASCII text data/yosys-0.9/techlibs/sf2/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/sf2/sf2_iobs.cc: C source, ASCII text data/yosys-0.9/techlibs/sf2/synth_sf2.cc: C source, ASCII text data/yosys-0.9/techlibs/xilinx/.gitignore: ASCII text data/yosys-0.9/techlibs/xilinx/Makefile.inc: ASCII text data/yosys-0.9/techlibs/xilinx/arith_map.v: ASCII text data/yosys-0.9/techlibs/xilinx/brams.txt: ASCII text data/yosys-0.9/techlibs/xilinx/brams_bb.v: ASCII text data/yosys-0.9/techlibs/xilinx/brams_init.py: Python script, ASCII text executable data/yosys-0.9/techlibs/xilinx/brams_map.v: ASCII text data/yosys-0.9/techlibs/xilinx/cells_map.v: ASCII text data/yosys-0.9/techlibs/xilinx/cells_sim.v: Ruby script, ASCII text data/yosys-0.9/techlibs/xilinx/cells_xtra.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/techlibs/xilinx/cells_xtra.v: ASCII text data/yosys-0.9/techlibs/xilinx/drams.txt: ASCII text data/yosys-0.9/techlibs/xilinx/drams_map.v: ASCII text data/yosys-0.9/techlibs/xilinx/ff_map.v: ASCII text data/yosys-0.9/techlibs/xilinx/lut_map.v: ASCII text data/yosys-0.9/techlibs/xilinx/synth_xilinx.cc: C source, ASCII text data/yosys-0.9/techlibs/xilinx/tests/.gitignore: ASCII text data/yosys-0.9/techlibs/xilinx/tests/bram1.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/techlibs/xilinx/tests/bram1.v: ASCII text data/yosys-0.9/techlibs/xilinx/tests/bram1_tb.v: ASCII text data/yosys-0.9/techlibs/xilinx/tests/bram2.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/techlibs/xilinx/tests/bram2.v: ASCII text data/yosys-0.9/techlibs/xilinx/tests/bram2_tb.v: ASCII text data/yosys-0.9/tests/aiger/.gitignore: ASCII text data/yosys-0.9/tests/aiger/and_.aag: ASCII text data/yosys-0.9/tests/aiger/and_.aig: data data/yosys-0.9/tests/aiger/buffer.aag: ASCII text data/yosys-0.9/tests/aiger/buffer.aig: ASCII text data/yosys-0.9/tests/aiger/cnt1.aag: ASCII text data/yosys-0.9/tests/aiger/cnt1.aig: ASCII text data/yosys-0.9/tests/aiger/cnt1e.aag: ASCII text data/yosys-0.9/tests/aiger/cnt1e.aig: data data/yosys-0.9/tests/aiger/empty.aag: ASCII text data/yosys-0.9/tests/aiger/empty.aig: ASCII text data/yosys-0.9/tests/aiger/false.aag: ASCII text data/yosys-0.9/tests/aiger/false.aig: ASCII text data/yosys-0.9/tests/aiger/halfadder.aag: ASCII text data/yosys-0.9/tests/aiger/halfadder.aig: data data/yosys-0.9/tests/aiger/inverter.aag: ASCII text data/yosys-0.9/tests/aiger/inverter.aig: ASCII text data/yosys-0.9/tests/aiger/notcnt1.aag: ASCII text data/yosys-0.9/tests/aiger/notcnt1.aig: ASCII text data/yosys-0.9/tests/aiger/notcnt1e.aag: ASCII text data/yosys-0.9/tests/aiger/notcnt1e.aig: data data/yosys-0.9/tests/aiger/or_.aag: ASCII text data/yosys-0.9/tests/aiger/or_.aig: data data/yosys-0.9/tests/aiger/toggle-re.aag: ASCII text data/yosys-0.9/tests/aiger/toggle-re.aig: data data/yosys-0.9/tests/aiger/toggle.aag: ASCII text data/yosys-0.9/tests/aiger/toggle.aig: ASCII text data/yosys-0.9/tests/aiger/true.aag: ASCII text data/yosys-0.9/tests/aiger/true.aig: ASCII text data/yosys-0.9/tests/aiger/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/arch/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/asicworld/.gitignore: ASCII text data/yosys-0.9/tests/asicworld/README: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_GrayCounter.v: Ruby script, ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_arbiter.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_arbiter_tb.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_cam.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_clk_div.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_clk_div_45.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_d_ff_gates.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_d_latch_gates.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_decoder_2to4_gates.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_decoder_using_assign.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_decoder_using_case.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_dff_async_reset.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_dff_sync_reset.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_encoder_4to2_gates.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_encoder_using_case.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_encoder_using_if.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_full_adder_gates.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_full_subtracter_gates.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_gray_counter.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_half_adder_gates.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_lfsr.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_lfsr_updown.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_mux_2to1_gates.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_mux_using_assign.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_mux_using_case.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_mux_using_if.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_one_hot_cnt.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_parallel_crc.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_parity_using_assign.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_parity_using_bitwise.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_parity_using_function.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_pri_encoder_using_assign.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_rom_using_case.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_serial_crc.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_tff_async_reset.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_tff_sync_reset.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_uart.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_up_counter.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_up_counter_load.v: ASCII text data/yosys-0.9/tests/asicworld/code_hdl_models_up_down_counter.v: ASCII text data/yosys-0.9/tests/asicworld/code_specman_switch_fabric.v: ASCII text data/yosys-0.9/tests/asicworld/code_tidbits_asyn_reset.v: ASCII text data/yosys-0.9/tests/asicworld/code_tidbits_blocking.v: ASCII text data/yosys-0.9/tests/asicworld/code_tidbits_fsm_using_always.v: ASCII text data/yosys-0.9/tests/asicworld/code_tidbits_fsm_using_function.v: ASCII text data/yosys-0.9/tests/asicworld/code_tidbits_fsm_using_single_always.v: ASCII text data/yosys-0.9/tests/asicworld/code_tidbits_nonblocking.v: ASCII text data/yosys-0.9/tests/asicworld/code_tidbits_reg_combo_example.v: ASCII text data/yosys-0.9/tests/asicworld/code_tidbits_reg_seq_example.v: ASCII text data/yosys-0.9/tests/asicworld/code_tidbits_syn_reset.v: ASCII text data/yosys-0.9/tests/asicworld/code_tidbits_wire_example.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_addbit.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_always_example.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_bus_con.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_comment.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_counter.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_counter_tb.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_d_ff.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_decoder.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_decoder_always.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_escape_id.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_explicit.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_first_counter.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_first_counter_tb.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_flip_flop.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_fsm_full.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_fsm_full_tb.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_good_code.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_if_else.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_multiply.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_mux_21.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_n_out_primitive.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_parallel_if.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_parity.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_simple_function.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_simple_if.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_task_global.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_tri_buf.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_v2k_reg.v: ASCII text data/yosys-0.9/tests/asicworld/code_verilog_tutorial_which_clock.v: ASCII text data/yosys-0.9/tests/asicworld/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/asicworld/xfirrtl: ASCII text data/yosys-0.9/tests/bram/.gitignore: ASCII text data/yosys-0.9/tests/bram/generate.py: Python script, ASCII text executable data/yosys-0.9/tests/bram/run-single.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/bram/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/errors/syntax_err01.v: ASCII text data/yosys-0.9/tests/errors/syntax_err02.v: ASCII text data/yosys-0.9/tests/errors/syntax_err03.v: ASCII text data/yosys-0.9/tests/errors/syntax_err04.v: ASCII text data/yosys-0.9/tests/errors/syntax_err05.v: ASCII text data/yosys-0.9/tests/errors/syntax_err06.v: ASCII text data/yosys-0.9/tests/errors/syntax_err07.v: ASCII text data/yosys-0.9/tests/errors/syntax_err08.v: ASCII text data/yosys-0.9/tests/errors/syntax_err09.v: ASCII text data/yosys-0.9/tests/errors/syntax_err10.v: ASCII text data/yosys-0.9/tests/errors/syntax_err11.v: ASCII text data/yosys-0.9/tests/errors/syntax_err12.v: ASCII text data/yosys-0.9/tests/errors/syntax_err13.v: ASCII text data/yosys-0.9/tests/fsm/.gitignore: ASCII text data/yosys-0.9/tests/fsm/generate.py: Python script, ASCII text executable data/yosys-0.9/tests/fsm/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/hana/.gitignore: ASCII text data/yosys-0.9/tests/hana/README: ASCII text data/yosys-0.9/tests/hana/hana_vlib.v: Ruby script, ASCII text data/yosys-0.9/tests/hana/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/hana/test_intermout.v: ASCII text data/yosys-0.9/tests/hana/test_parse2synthtrans.v: ASCII text data/yosys-0.9/tests/hana/test_parser.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_always.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_and.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_buffer.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_decoder.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_inc.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_mux.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_nand.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_nor.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_or.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_seq.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_shifter.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_sop.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_techmap.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_techmap_tech.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_vlib.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_xnor.v: ASCII text data/yosys-0.9/tests/hana/test_simulation_xor.v: ASCII text data/yosys-0.9/tests/liberty/.gitignore: ASCII text data/yosys-0.9/tests/liberty/busdef.lib: ASCII text data/yosys-0.9/tests/liberty/normal.lib: ASCII text data/yosys-0.9/tests/liberty/processdefs.lib: ASCII text data/yosys-0.9/tests/liberty/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/liberty/semicolextra.lib: ASCII text data/yosys-0.9/tests/liberty/semicolmissing.lib: ASCII text data/yosys-0.9/tests/liberty/small.v: ASCII text data/yosys-0.9/tests/lut/.gitignore: ASCII text data/yosys-0.9/tests/lut/check_map.ys: ASCII text data/yosys-0.9/tests/lut/map_and.v: ASCII text data/yosys-0.9/tests/lut/map_cmp.v: ASCII text data/yosys-0.9/tests/lut/map_mux.v: ASCII text data/yosys-0.9/tests/lut/map_not.v: ASCII text data/yosys-0.9/tests/lut/map_or.v: ASCII text data/yosys-0.9/tests/lut/map_xor.v: ASCII text data/yosys-0.9/tests/lut/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/memories/.gitignore: ASCII text data/yosys-0.9/tests/memories/amber23_sram_byte_en.v: ASCII text data/yosys-0.9/tests/memories/firrtl_938.v: ASCII text data/yosys-0.9/tests/memories/implicit_en.v: ASCII text data/yosys-0.9/tests/memories/issue00335.v: ASCII text data/yosys-0.9/tests/memories/issue00710.v: ASCII text data/yosys-0.9/tests/memories/no_implicit_en.v: ASCII text data/yosys-0.9/tests/memories/read_two_mux.v: ASCII text data/yosys-0.9/tests/memories/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/memories/shared_ports.v: ASCII text data/yosys-0.9/tests/memories/simple_sram_byte_en.v: ASCII text data/yosys-0.9/tests/opt/.gitignore: ASCII text data/yosys-0.9/tests/opt/opt_expr_cmp.v: ASCII text data/yosys-0.9/tests/opt/opt_expr_cmp.ys: ASCII text data/yosys-0.9/tests/opt/opt_ff.v: ASCII text data/yosys-0.9/tests/opt/opt_ff.ys: ASCII text data/yosys-0.9/tests/opt/opt_lut.v: ASCII text data/yosys-0.9/tests/opt/opt_lut.ys: ASCII text data/yosys-0.9/tests/opt/opt_lut_elim.il: ASCII text data/yosys-0.9/tests/opt/opt_lut_elim.ys: ASCII text data/yosys-0.9/tests/opt/opt_lut_port.il: ASCII text data/yosys-0.9/tests/opt/opt_lut_port.ys: ASCII text data/yosys-0.9/tests/opt/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/realmath/.gitignore: ASCII text data/yosys-0.9/tests/realmath/generate.py: Python script, ASCII text executable data/yosys-0.9/tests/realmath/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/sat/.gitignore: ASCII text data/yosys-0.9/tests/sat/asserts.v: ASCII text data/yosys-0.9/tests/sat/asserts.ys: ASCII text data/yosys-0.9/tests/sat/asserts_seq.v: ASCII text data/yosys-0.9/tests/sat/asserts_seq.ys: ASCII text data/yosys-0.9/tests/sat/counters-repeat.v: ASCII text data/yosys-0.9/tests/sat/counters-repeat.ys: ASCII text data/yosys-0.9/tests/sat/counters.v: ASCII text data/yosys-0.9/tests/sat/counters.ys: ASCII text data/yosys-0.9/tests/sat/expose_dff.v: ASCII text data/yosys-0.9/tests/sat/expose_dff.ys: ASCII text data/yosys-0.9/tests/sat/initval.v: ASCII text data/yosys-0.9/tests/sat/initval.ys: ASCII text data/yosys-0.9/tests/sat/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/sat/share.v: ASCII text data/yosys-0.9/tests/sat/share.ys: ASCII text data/yosys-0.9/tests/sat/sizebits.sv: ASCII text data/yosys-0.9/tests/sat/sizebits.ys: ASCII text data/yosys-0.9/tests/sat/splice.v: ASCII text data/yosys-0.9/tests/sat/splice.ys: ASCII text data/yosys-0.9/tests/share/.gitignore: ASCII text data/yosys-0.9/tests/share/generate.py: Python script, ASCII text executable data/yosys-0.9/tests/share/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/simple/.gitignore: ASCII text data/yosys-0.9/tests/simple/aes_kexp128.v: ASCII text data/yosys-0.9/tests/simple/always01.v: ASCII text data/yosys-0.9/tests/simple/always02.v: ASCII text data/yosys-0.9/tests/simple/always03.v: ASCII text data/yosys-0.9/tests/simple/arraycells.v: ASCII text data/yosys-0.9/tests/simple/arrays01.v: ASCII text data/yosys-0.9/tests/simple/arrays02.sv: ASCII text data/yosys-0.9/tests/simple/attrib01_module.v: ASCII text data/yosys-0.9/tests/simple/attrib02_port_decl.v: ASCII text data/yosys-0.9/tests/simple/attrib03_parameter.v: ASCII text data/yosys-0.9/tests/simple/attrib04_net_var.v: ASCII text data/yosys-0.9/tests/simple/attrib05_port_conn.v.DISABLED: ASCII text data/yosys-0.9/tests/simple/attrib06_operator_suffix.v: ASCII text data/yosys-0.9/tests/simple/attrib07_func_call.v.DISABLED: ASCII text data/yosys-0.9/tests/simple/attrib08_mod_inst.v: ASCII text data/yosys-0.9/tests/simple/attrib09_case.v: ASCII text data/yosys-0.9/tests/simple/carryadd.v: ASCII text data/yosys-0.9/tests/simple/constmuldivmod.v: ASCII text data/yosys-0.9/tests/simple/constpower.v: ASCII text data/yosys-0.9/tests/simple/defvalue.sv: ASCII text data/yosys-0.9/tests/simple/dff_different_styles.v: ASCII text data/yosys-0.9/tests/simple/dff_init.v: ASCII text data/yosys-0.9/tests/simple/fiedler-cooley.v: ASCII text data/yosys-0.9/tests/simple/forgen01.v: ASCII text data/yosys-0.9/tests/simple/forgen02.v: ASCII text data/yosys-0.9/tests/simple/forloops.v: ASCII text data/yosys-0.9/tests/simple/fsm.v: ASCII text data/yosys-0.9/tests/simple/generate.v: ASCII text data/yosys-0.9/tests/simple/graphtest.v: ASCII text data/yosys-0.9/tests/simple/hierarchy.v: ASCII text data/yosys-0.9/tests/simple/hierdefparam.v: ASCII text data/yosys-0.9/tests/simple/i2c_master_tests.v: ASCII text data/yosys-0.9/tests/simple/implicit_ports.v: ASCII text data/yosys-0.9/tests/simple/localparam_attr.v: ASCII text data/yosys-0.9/tests/simple/loops.v: ASCII text data/yosys-0.9/tests/simple/macros.v: ASCII text data/yosys-0.9/tests/simple/mem2reg.v: ASCII text data/yosys-0.9/tests/simple/mem_arst.v: Ruby script, ASCII text data/yosys-0.9/tests/simple/memory.v: ASCII text data/yosys-0.9/tests/simple/multiplier.v: Ruby script, ASCII text data/yosys-0.9/tests/simple/muxtree.v: ASCII text data/yosys-0.9/tests/simple/omsp_dbg_uart.v: ASCII text data/yosys-0.9/tests/simple/operators.v: ASCII text data/yosys-0.9/tests/simple/param_attr.v: ASCII text data/yosys-0.9/tests/simple/paramods.v: ASCII text data/yosys-0.9/tests/simple/partsel.v: ASCII text data/yosys-0.9/tests/simple/peepopt.v: ASCII text data/yosys-0.9/tests/simple/process.v: ASCII text data/yosys-0.9/tests/simple/realexpr.v: ASCII text data/yosys-0.9/tests/simple/repwhile.v: ASCII text data/yosys-0.9/tests/simple/retime.v: ASCII text data/yosys-0.9/tests/simple/rotate.v: ASCII text data/yosys-0.9/tests/simple/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/simple/scopes.v: ASCII text data/yosys-0.9/tests/simple/signedexpr.v: ASCII text data/yosys-0.9/tests/simple/sincos.v: ASCII text data/yosys-0.9/tests/simple/specify.v: ASCII text data/yosys-0.9/tests/simple/subbytes.v: ASCII text data/yosys-0.9/tests/simple/task_func.v: ASCII text data/yosys-0.9/tests/simple/undef_eqx_nex.v: ASCII text data/yosys-0.9/tests/simple/usb_phy_tests.v: ASCII text data/yosys-0.9/tests/simple/values.v: ASCII text data/yosys-0.9/tests/simple/vloghammer.v: ASCII text data/yosys-0.9/tests/simple/wandwor.v: ASCII text data/yosys-0.9/tests/simple/wreduce.v: ASCII text data/yosys-0.9/tests/simple/xfirrtl: ASCII text data/yosys-0.9/tests/smv/.gitignore: ASCII text data/yosys-0.9/tests/smv/run-single.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/smv/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/sva/.gitignore: ASCII text data/yosys-0.9/tests/sva/Makefile: makefile script, ASCII text data/yosys-0.9/tests/sva/basic00.sv: ASCII text data/yosys-0.9/tests/sva/basic01.sv: ASCII text data/yosys-0.9/tests/sva/basic02.sv: ASCII text data/yosys-0.9/tests/sva/basic03.sv: ASCII text data/yosys-0.9/tests/sva/basic04.sv: ASCII text data/yosys-0.9/tests/sva/basic04.vhd: ASCII text data/yosys-0.9/tests/sva/basic05.sv: ASCII text data/yosys-0.9/tests/sva/basic05.vhd: ASCII text data/yosys-0.9/tests/sva/counter.sv: ASCII text data/yosys-0.9/tests/sva/extnets.sv: ASCII text data/yosys-0.9/tests/sva/runtest.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/sva/sva_not.sv: ASCII text data/yosys-0.9/tests/sva/sva_range.sv: ASCII text data/yosys-0.9/tests/sva/sva_throughout.sv: ASCII text data/yosys-0.9/tests/svinterfaces/.gitignore: ASCII text data/yosys-0.9/tests/svinterfaces/run-test.sh: ASCII text data/yosys-0.9/tests/svinterfaces/runone.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/svinterfaces/svinterface1.sv: Ruby script, ASCII text data/yosys-0.9/tests/svinterfaces/svinterface1_ref.v: Ruby script, ASCII text data/yosys-0.9/tests/svinterfaces/svinterface1_tb.v: ASCII text data/yosys-0.9/tests/svinterfaces/svinterface_at_top.sv: Ruby script, ASCII text data/yosys-0.9/tests/svinterfaces/svinterface_at_top_ref.v: Ruby script, ASCII text data/yosys-0.9/tests/svinterfaces/svinterface_at_top_tb.v: ASCII text data/yosys-0.9/tests/svinterfaces/svinterface_at_top_tb_wrapper.v: ASCII text data/yosys-0.9/tests/svinterfaces/svinterface_at_top_wrapper.v: ASCII text data/yosys-0.9/tests/techmap/.gitignore: ASCII text data/yosys-0.9/tests/techmap/mem_simple_4x1_cells.v: Ruby script, ASCII text data/yosys-0.9/tests/techmap/mem_simple_4x1_map.v: ASCII text data/yosys-0.9/tests/techmap/mem_simple_4x1_runtest.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/techmap/mem_simple_4x1_tb.v: ASCII text data/yosys-0.9/tests/techmap/mem_simple_4x1_uut.v: ASCII text data/yosys-0.9/tests/techmap/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/tools/.gitignore: ASCII text data/yosys-0.9/tests/tools/autotest.mk: ASCII text data/yosys-0.9/tests/tools/cmp_tbdata.c: C source, ASCII text data/yosys-0.9/tests/tools/profiler.pl: Perl script text executable data/yosys-0.9/tests/tools/txt2tikztiming.py: Python script, ASCII text executable data/yosys-0.9/tests/tools/vcd2txt.pl: Perl script text executable data/yosys-0.9/tests/tools/vcdcd.pl: Perl script text executable data/yosys-0.9/tests/tools/autotest.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/unit/Makefile: makefile script, ASCII text data/yosys-0.9/tests/unit/kernel/logTest.cc: C source, ASCII text data/yosys-0.9/tests/unit/kernel/rtlilTest.cc: C source, ASCII text data/yosys-0.9/tests/various/.gitignore: ASCII text data/yosys-0.9/tests/various/attrib05_port_conn.v: ASCII text data/yosys-0.9/tests/various/attrib05_port_conn.ys: ASCII text data/yosys-0.9/tests/various/attrib07_func_call.v: ASCII text data/yosys-0.9/tests/various/attrib07_func_call.ys: ASCII text data/yosys-0.9/tests/various/chparam.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/various/constmsk_test.v: ASCII text data/yosys-0.9/tests/various/constmsk_test.ys: ASCII text data/yosys-0.9/tests/various/constmsk_testmap.v: ASCII text data/yosys-0.9/tests/various/elab_sys_tasks.sv: ASCII text data/yosys-0.9/tests/various/elab_sys_tasks.ys: ASCII text data/yosys-0.9/tests/various/hierarchy.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/various/muxcover.ys: ASCII text data/yosys-0.9/tests/various/muxpack.v: ASCII text data/yosys-0.9/tests/various/muxpack.ys: ASCII text data/yosys-0.9/tests/various/opt_rmdff.v: ASCII text data/yosys-0.9/tests/various/opt_rmdff.ys: ASCII text data/yosys-0.9/tests/various/pmux2shiftx.v: ASCII text data/yosys-0.9/tests/various/pmux2shiftx.ys: ASCII text data/yosys-0.9/tests/various/reg_wire_error.sv: ASCII text data/yosys-0.9/tests/various/reg_wire_error.ys: ASCII text data/yosys-0.9/tests/various/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/various/shregmap.v: ASCII text data/yosys-0.9/tests/various/shregmap.ys: ASCII text data/yosys-0.9/tests/various/signext.ys: ASCII text data/yosys-0.9/tests/various/specify.v: ASCII text data/yosys-0.9/tests/various/specify.ys: ASCII text data/yosys-0.9/tests/various/submod_extract.ys: ASCII text data/yosys-0.9/tests/vloghtb/.gitignore: ASCII text data/yosys-0.9/tests/vloghtb/common.sh: ASCII text data/yosys-0.9/tests/vloghtb/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/vloghtb/test_febe.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/vloghtb/test_makefile: ASCII text data/yosys-0.9/tests/vloghtb/test_mapopt.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/tests/vloghtb/test_share.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/debian/changelog: ASCII text data/yosys-0.9/debian/control: ASCII text data/yosys-0.9/debian/copyright: UTF-8 Unicode text data/yosys-0.9/debian/docs: ASCII text data/yosys-0.9/debian/gbp.conf: ASCII text data/yosys-0.9/debian/man/.gitignore: ASCII text data/yosys-0.9/debian/man/genmanpages.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/debian/man/yosys-smtbmc.txt: ASCII text data/yosys-0.9/debian/patches/0007-Disable-pretty-build.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/0009-Some-spelling-errors-fixed.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/0011-Do-not-show-g-build-flags-in-Version-string.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/0014-Set-path-to-berkeley-abc-instead-of-relative-path-to.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/01_gitrevision.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/02_removeabc.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/05_abc_executable.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/kfreebsd-support.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/manual-build.patch: unified diff output, ASCII text data/yosys-0.9/debian/patches/series: ASCII text data/yosys-0.9/debian/patches/switch-to-free-font.patch: unified diff output, ASCII text data/yosys-0.9/debian/rules: a /usr/bin/make -f script, ASCII text executable data/yosys-0.9/debian/source/format: ASCII text data/yosys-0.9/debian/tests/control: ASCII text data/yosys-0.9/debian/tests/design_ice.v: ASCII text data/yosys-0.9/debian/tests/ice: POSIX shell script, ASCII text executable data/yosys-0.9/debian/tests/smtbc: Bourne-Again shell script, ASCII text executable data/yosys-0.9/debian/upstream/metadata: ASCII text data/yosys-0.9/debian/watch: ASCII text data/yosys-0.9/debian/yosys-abc.1: troff or preprocessor input, ASCII text, with very long lines data/yosys-0.9/debian/yosys-config.1: troff or preprocessor input, ASCII text data/yosys-0.9/debian/yosys-dev.install: ASCII text data/yosys-0.9/debian/yosys-dev.manpages: ASCII text data/yosys-0.9/debian/yosys-doc.doc-base: ASCII text data/yosys-0.9/debian/yosys-doc.install: ASCII text data/yosys-0.9/debian/yosys-filterlib.1: troff or preprocessor input, ASCII text data/yosys-0.9/debian/yosys.1: troff or preprocessor input, ASCII text data/yosys-0.9/debian/yosys.install: ASCII text data/yosys-0.9/debian/yosys.links: ASCII text data/yosys-0.9/debian/yosys.lintian-overrides: ASCII text data/yosys-0.9/debian/yosys.manpages: ASCII text data/yosys-0.9/.pc/.version: ASCII text data/yosys-0.9/.pc/.quilt_patches: ASCII text data/yosys-0.9/.pc/.quilt_series: ASCII text data/yosys-0.9/.pc/applied-patches: ASCII text data/yosys-0.9/.pc/01_gitrevision.patch/Makefile: makefile script, ASCII text data/yosys-0.9/.pc/02_removeabc.patch/Makefile: makefile script, ASCII text data/yosys-0.9/.pc/05_abc_executable.patch/passes/techmap/abc.cc: C source, ASCII text, with very long lines data/yosys-0.9/.pc/switch-to-free-font.patch/manual/APPNOTE_010_Verilog_to_BLIF.tex: LaTeX 2e document, ASCII text data/yosys-0.9/.pc/switch-to-free-font.patch/manual/APPNOTE_011_Design_Investigation.tex: LaTeX 2e document, ASCII text data/yosys-0.9/.pc/switch-to-free-font.patch/manual/APPNOTE_012_Verilog_to_BTOR.tex: LaTeX 2e document, ASCII text data/yosys-0.9/.pc/switch-to-free-font.patch/manual/manual.tex: LaTeX 2e document, ASCII text data/yosys-0.9/.pc/switch-to-free-font.patch/manual/presentation.tex: LaTeX 2e document, ASCII text data/yosys-0.9/.pc/manual-build.patch/manual/PRESENTATION_Prog/Makefile: makefile script, ASCII text data/yosys-0.9/.pc/kfreebsd-support.patch/kernel/driver.cc: C source, ASCII text data/yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc: C source, ASCII text data/yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.h: C++ source, ASCII text data/yosys-0.9/.pc/kfreebsd-support.patch/kernel/yosys.cc: C source, ASCII text data/yosys-0.9/.pc/kfreebsd-support.patch/libs/minisat/System.cc: C source, ASCII text data/yosys-0.9/.pc/kfreebsd-support.patch/passes/cmds/cover.cc: C source, ASCII text data/yosys-0.9/.pc/0007-Disable-pretty-build.patch/Makefile: makefile script, ASCII text data/yosys-0.9/.pc/0009-Some-spelling-errors-fixed.patch/frontends/ast/genrtlil.cc: C source, ASCII text data/yosys-0.9/.pc/0009-Some-spelling-errors-fixed.patch/manual/CHAPTER_Overview.tex: LaTeX document, ASCII text data/yosys-0.9/.pc/0009-Some-spelling-errors-fixed.patch/manual/command-reference-manual.tex: LaTeX document, ASCII text data/yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/Makefile: makefile script, ASCII text data/yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/manual/appnotes.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/manual/manual.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/manual/presentation.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/manual/presentation.tex: LaTeX 2e document, ASCII text data/yosys-0.9/.pc/0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch/backends/smt2/Makefile.inc: ASCII text data/yosys-0.9/.pc/0011-Do-not-show-g-build-flags-in-Version-string.patch/Makefile: makefile script, ASCII text data/yosys-0.9/.pc/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch/Makefile: makefile script, ASCII text data/yosys-0.9/.pc/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch/Makefile: makefile script, ASCII text data/yosys-0.9/.pc/0014-Set-path-to-berkeley-abc-instead-of-relative-path-to.patch/tests/aiger/run-test.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/.pc/0014-Set-path-to-berkeley-abc-instead-of-relative-path-to.patch/tests/tools/autotest.sh: Bourne-Again shell script, ASCII text executable data/yosys-0.9/Makefile: makefile script, ASCII text