data/verilator-4.038/verilator.txt: UTF-8 Unicode text data/verilator-4.038/configure: POSIX shell script, ASCII text executable data/verilator-4.038/MANIFEST.SKIP: ASCII text data/verilator-4.038/include/verilated_fst_c.cpp: C source, ASCII text data/verilator-4.038/include/verilated_heavy.h: C++ source, ASCII text data/verilator-4.038/include/verilated_vcd_sc.cpp: C source, ASCII text data/verilator-4.038/include/verilatedos.h: C source, ASCII text data/verilator-4.038/include/verilated_fst_c.h: C++ source, ASCII text data/verilator-4.038/include/verilated_dpi.h: C source, ASCII text data/verilator-4.038/include/verilated_vcd_sc.h: C++ source, ASCII text data/verilator-4.038/include/verilated_trace.h: C++ source, ASCII text data/verilator-4.038/include/verilated_unordered_set_map.h: C++ source, ASCII text data/verilator-4.038/include/verilated_dpi.cpp: C source, ASCII text data/verilator-4.038/include/gtkwave/wavealloca.h: C source, ASCII text data/verilator-4.038/include/gtkwave/fastlz.c: C source, ASCII text data/verilator-4.038/include/gtkwave/lz4.h: C source, ASCII text data/verilator-4.038/include/gtkwave/fstapi.h: C source, ASCII text data/verilator-4.038/include/gtkwave/lz4.c: C source, ASCII text data/verilator-4.038/include/gtkwave/fstapi.c: C source, ASCII text data/verilator-4.038/include/gtkwave/fst_config.h: ASCII text data/verilator-4.038/include/gtkwave/fastlz.h: C source, ASCII text data/verilator-4.038/include/verilated_cov_key.h: C++ source, ASCII text data/verilator-4.038/include/verilated_syms.h: C++ source, ASCII text data/verilator-4.038/include/verilated.cpp: C source, ASCII text data/verilator-4.038/include/verilated_imp.h: C++ source, ASCII text data/verilator-4.038/include/.gitignore: ASCII text data/verilator-4.038/include/verilated_config.h: ASCII text data/verilator-4.038/include/verilated_cov.cpp: C++ source, ASCII text data/verilator-4.038/include/verilated.h: C++ source, ASCII text data/verilator-4.038/include/verilated.v: ASCII text data/verilator-4.038/include/verilated_cov.h: C++ source, ASCII text data/verilator-4.038/include/verilated_trace_imp.cpp: C source, ASCII text data/verilator-4.038/include/verilated.mk.in: makefile script, ASCII text data/verilator-4.038/include/verilated_config.h.in: ASCII text data/verilator-4.038/include/verilated_vpi.cpp: C++ source, ASCII text data/verilator-4.038/include/verilated_sc.h: C++ source, ASCII text data/verilator-4.038/include/verilated_vcd_c.h: C++ source, ASCII text data/verilator-4.038/include/verilated_save.h: C++ source, ASCII text data/verilator-4.038/include/verilated_threads.h: C++ source, ASCII text data/verilator-4.038/include/verilated_intrinsics.h: C source, ASCII text data/verilator-4.038/include/verilated_sym_props.h: C++ source, ASCII text data/verilator-4.038/include/verilated_vpi.h: C++ source, ASCII text data/verilator-4.038/include/verilated_save.cpp: C source, ASCII text data/verilator-4.038/include/vltstd/vpi_user.h: C source, ASCII text data/verilator-4.038/include/vltstd/svdpi.h: C source, ASCII text data/verilator-4.038/include/verilated_threads.cpp: C source, ASCII text data/verilator-4.038/include/verilated_vcd_c.cpp: C source, ASCII text data/verilator-4.038/verilator-config.cmake.in: C source, ASCII text data/verilator-4.038/README.adoc: ASCII text data/verilator-4.038/test_regress/Makefile_obj: makefile script, ASCII text data/verilator-4.038/test_regress/input.vc: ASCII text data/verilator-4.038/test_regress/Makefile: ASCII text data/verilator-4.038/test_regress/driver.pl: Perl script text executable data/verilator-4.038/test_regress/vgen.pl: Perl script text executable data/verilator-4.038/test_regress/.gitignore: ASCII text data/verilator-4.038/test_regress/CMakeLists.txt: ASCII text data/verilator-4.038/test_regress/t/t_class_extends_this.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_arg_output_type.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_detectarray_3.v: ASCII text data/verilator-4.038/test_regress/t/t_case_zx_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_parameter.vc: ASCII text data/verilator-4.038/test_regress/t/t_inst_dearray_slice.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_math_reverse.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_real_abs.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_two_portfst_cc.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_missing_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_order_comboclkloop.v: ASCII text data/verilator-4.038/test_regress/t/t_assoc_wildcard_unsup.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_select_unsized.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_implicit.v: ASCII text data/verilator-4.038/test_regress/t/t_pli_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_various.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_interface_param2.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_multi_io2.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_flag_generate_key.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_extends.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_unconnected.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_array.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_x_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_alias_unsup.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_topmod2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_in_assign_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_begin2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_rnd.v: ASCII text data/verilator-4.038/test_regress/t/t_param_real.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_defkwd_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_class_class.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_bad_notfound.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_const.v: ASCII text data/verilator-4.038/test_regress/t/t_iff.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_const_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_down_inlbc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_emit_memb_limit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_var.v: C source, ASCII text data/verilator-4.038/test_regress/t/t_dpi_accessors.v: ASCII text data/verilator-4.038/test_regress/t/t_param_type.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_scstruct.v: ASCII text data/verilator-4.038/test_regress/t/t_interface1_modport.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_semaphore.out: ASCII text data/verilator-4.038/test_regress/t/t_clk_latchgate.v: ASCII text data/verilator-4.038/test_regress/t/t_param_real2_collision.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_writemem_b.gold4.mem: ASCII text data/verilator-4.038/test_regress/t/t_class_package.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_genvar_for_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_split_var_2_trace.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_public_sig_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_nonamebegin__log.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_prepost.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_file_autoflush.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_dup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_complex_structs.out: ASCII text data/verilator-4.038/test_regress/t/t_fuzz_triand_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_debugi9.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_bind_public.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_func.v: ASCII text data/verilator-4.038/test_regress/t/t_class1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_packed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order.v: ASCII text data/verilator-4.038/test_regress/t/t_interface1_modport_trace.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_fi.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_dump_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_array_nocolon_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_local.v: ASCII text data/verilator-4.038/test_regress/t/t_func_rand.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_portsel.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_event_copy.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_multi_io3_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_dpulse.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_plog.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_class_extern.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_langext_1_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_uselib.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_size_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_lib.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem_bad_notfound.v: ASCII text data/verilator-4.038/test_regress/t/t_assoc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_threads_counter_2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlcov_rank.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_litendian.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_x_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_threads_collide.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_default_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_tri.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_lib_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_inst_notunsized.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_bad_sv.v: ASCII text data/verilator-4.038/test_regress/t/t_math_precedence.v: ASCII text data/verilator-4.038/test_regress/t/t_var_const.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_2in_vec.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_uselib.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_semaphore.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_delref.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_typo_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_select_little.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_notfound_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_complex_threads_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_import_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_dpi_openfirst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_tree_inl0_pub1.vlt: ASCII text data/verilator-4.038/test_regress/t/t_array_pattern_2d.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_dtree_inlab.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_dup_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_func.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_slice_cond.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_upscope.out: ASCII text data/verilator-4.038/test_regress/t/t_runflag_errorlimit.out: JSON data data/verilator-4.038/test_regress/t/t_func_redef.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_array_partial.v: ASCII text data/verilator-4.038/test_regress/t/t_typedef_param.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_flag_fi_h.h: C source, ASCII text data/verilator-4.038/test_regress/t/t_time_sc_sec.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_interface_array0.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_bad_end.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_lib.v: ASCII text data/verilator-4.038/test_regress/t/t_vlt_warn.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_public_func.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_concat.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_port.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex.out: ASCII text data/verilator-4.038/test_regress/t/t_assert_synth_full_vlt.out: JSON data data/verilator-4.038/test_regress/t/t_past_unsup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_time_vpi_100s10ms.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_ref_bad1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cover_line.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_slot.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_overwide_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_dpulse.v: ASCII text data/verilator-4.038/test_regress/t/t_func_range.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_missing.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_bsspace_bad.v: data data/verilator-4.038/test_regress/t/t_display_mcd.v: ASCII text data/verilator-4.038/test_regress/t/t_var_dup2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_time.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_inside.v: ASCII text data/verilator-4.038/test_regress/t/t_hierarchy_identifier_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_missing_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_func_tie_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_genblk.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_down_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_sc_fs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_arg_output_type.out: ASCII text data/verilator-4.038/test_regress/t/t_display.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_arg_output_type__Dpi.out: C source, ASCII text data/verilator-4.038/test_regress/t/t_split_var_1_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_missing_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_initial_dlyass_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_xml_tag.out: XML 1.0 document, ASCII text, with very long lines data/verilator-4.038/test_regress/t/t_vpi_stop_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_help.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_first.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_typedef.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_wait.out: ASCII text data/verilator-4.038/test_regress/t/t_EXAMPLE.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_import_hdr_only.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_copy.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_bsspace_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_missing_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_delay_func_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_overzero.v: ASCII text data/verilator-4.038/test_regress/t/t_math_div0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_type_methods_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_task_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_future.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_concat_large.v: ASCII text data/verilator-4.038/test_regress/t/t_var_ref_bad3.v: ASCII text data/verilator-4.038/test_regress/t/t_order.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_type_bad2.v: ASCII text data/verilator-4.038/test_regress/t/t_bitsel_const_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_first.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_loop.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_sformat_noopt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_real_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dist_untracked.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_pins_scui.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_initial_dlyass_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_repl.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_comb_use.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_concat3.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_vcmarker_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_func.v: ASCII text data/verilator-4.038/test_regress/t/t_param_noval_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_assoc_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_pinsizes.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_writemem_b.gold1.mem: ASCII text data/verilator-4.038/test_regress/t/t_inst_recurse2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_asvar_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_if_swap.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_pindup_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen7.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_only.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_modport_dir_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bench_mux4k.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_ref_trace.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_file_basic_mcd.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_main_sc_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_cat_reopen.out: ASCII text data/verilator-4.038/test_regress/t/t_bench_mux4k.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_dupdef.v: ASCII text data/verilator-4.038/test_regress/t/t_unroll_complexcond.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_const_packed_struct_bad2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_dupdef.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_first_a.v: ASCII text data/verilator-4.038/test_regress/t/t_display_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlcov_data_b.dat: data data/verilator-4.038/test_regress/t/t_delay_func_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_top_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_input_eq_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_type.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_pullvec_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_delay_stmtdly_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_mod_dup_ign.v: ASCII text data/verilator-4.038/test_regress/t/t_var_overcmp.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_member_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_mem_multi_ref_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_unused.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_bad_addr.out: ASCII text data/verilator-4.038/test_regress/t/t_vlcov_info.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen6.v: ASCII text data/verilator-4.038/test_regress/t/t_unconnected_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_comp_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_detectarray_2.v: ASCII text data/verilator-4.038/test_regress/t/t_param_concat.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen3_collision.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_cond_clean.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_assert_synth_full.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_rev.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_package_verb.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_udp_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_signed3.v: ASCII text data/verilator-4.038/test_regress/t/t_case_dupitems.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_static_order.out: ASCII text data/verilator-4.038/test_regress/t/t_time_sc_fs.out: ASCII text data/verilator-4.038/test_regress/t/t_param_scope_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_case_write2.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_multi_io3_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_width_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_select_bound2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_name3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_arraysel_wide.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_topmodule_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_modport_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_intdot.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_shortcircuit2.v: ASCII text data/verilator-4.038/test_regress/t/t_param_up_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex_portable.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unoptflat_simple_2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_mem_multi_ref_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_concat6.v: ASCII text data/verilator-4.038/test_regress/t/t_case_auto1.v: ASCII text data/verilator-4.038/test_regress/t/t_var_escape.v: ASCII text data/verilator-4.038/test_regress/t/t_vpi_zero_time_cb.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_twocall.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_circ_subst_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_package_dot.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_order_clkinst.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_defparam_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_ref.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inside_wild.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_for_init_bug.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_backw_index_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_func_rand.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_dup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_vpi_1us1ns.out: ASCII text data/verilator-4.038/test_regress/t/t_past_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_rsvd.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_public.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_once_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_timescale.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_setout_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_stream3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_dead.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_unpacked2.v: ASCII text data/verilator-4.038/test_regress/t/t_func.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fork.v: ASCII text data/verilator-4.038/test_regress/t/t_time_sc_us.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_realcvt_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_func_default_warn.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_pull_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlcov_data_c.dat: data data/verilator-4.038/test_regress/t/t_display_wide.v: ASCII text, with very long lines data/verilator-4.038/test_regress/t/t_math_real_public.v: ASCII text data/verilator-4.038/test_regress/t/t_class_extends_this.out: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_ff.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_shiftrs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_nest.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_stop_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_process.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_litendian_fst.out: ASCII text, with very long lines data/verilator-4.038/test_regress/t/t_dpi_export.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_overwidth_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pipe_filter.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_litendian_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_time_cb.v: ASCII text data/verilator-4.038/test_regress/t/t_parse_delay.v: ASCII text data/verilator-4.038/test_regress/t/t_param_shift.v: ASCII text data/verilator-4.038/test_regress/t/t_param_public.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_defaults.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bitsel_struct.v: ASCII text data/verilator-4.038/test_regress/t/t_package_export_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_typedef.out: ASCII text data/verilator-4.038/test_regress/t/t_struct_unpacked_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_topmodule_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_string_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_for0.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_lint_defparam.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex_fst_thread.out: ASCII text data/verilator-4.038/test_regress/t/t_func_flip.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_metacmt_onoff.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_parameter_access.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlcov_data_d.dat: data data/verilator-4.038/test_regress/t/t_dpi_accessors_macros_inc.vh: ASCII text data/verilator-4.038/test_regress/t/t_typename.v: ASCII text data/verilator-4.038/test_regress/t/t_math_mul.v: ASCII text data/verilator-4.038/test_regress/t/t_reloop_cam.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_for_funcbound.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_compass_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_flag_off.out: ASCII text data/verilator-4.038/test_regress/t/t_pp_underline_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_in_inc_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_vlt_warn.vlt: ASCII text data/verilator-4.038/test_regress/t/t_mod_recurse.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_inst_tree_inl0_pub1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_string_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_math_yosys.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_split_var_2_trace.out: ASCII text data/verilator-4.038/test_regress/t/t_time_vpi_1fs1fs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_multitop_sig_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_case_huge.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_int.v: ASCII text data/verilator-4.038/test_regress/t/t_noprot_lib.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_for.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_param_another_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_type3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_dup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_signed5.v: ASCII text data/verilator-4.038/test_regress/t/t_interface2.v: ASCII text data/verilator-4.038/test_regress/t/t_timescale_default.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cover_sva_trace.out: ASCII text data/verilator-4.038/test_regress/t/t_enum_type_methods.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_udp_noname.v: ASCII text data/verilator-4.038/test_regress/t/t_select_bad_range2.v: ASCII text data/verilator-4.038/test_regress/t/t_math_swap.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_literal_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_class_vparam_unsup.out: ASCII text data/verilator-4.038/test_regress/t/t_typedef.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_threads.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dedupe_clk_gate.vlt: ASCII text data/verilator-4.038/test_regress/t/t_display_realtime.v: ASCII text data/verilator-4.038/test_regress/t/t_math_concat0.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_inst_misarray_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_fifo_dc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_property.v: ASCII text data/verilator-4.038/test_regress/t/t_protect_ids_key.out: XML 1.0 document, ASCII text data/verilator-4.038/test_regress/t/t_var_pinsizes.vlt: ASCII text data/verilator-4.038/test_regress/t/t_fuzz_eqne_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_realcvt_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_invalid_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_param_seg.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unoptflat_simple_3.v: ASCII text data/verilator-4.038/test_regress/t/t_pli_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_result_type_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_array.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_sel.v: ASCII text data/verilator-4.038/test_regress/t/t_genvar_for_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_concat_large.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_langext_2.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_ifbegin.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_alw.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_trace_cat_renew_0100.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_string.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_bound.v: ASCII text data/verilator-4.038/test_regress/t/t_hierarchy_identifier.v: ASCII text data/verilator-4.038/test_regress/t/t_sv_bus_mux_demux/sv_bus_mux_demux_mux.sv: Java source, ASCII text data/verilator-4.038/test_regress/t/t_sv_bus_mux_demux/sv_bus_mux_demux_def.sv: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_sv_bus_mux_demux/sv_bus_mux_demux_wrap.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_bus_mux_demux/sv_bus_mux_demux_demux.sv: Java source, ASCII text data/verilator-4.038/test_regress/t/t_assert_synth_parallel.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_debug_graph_test.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_packed_sysfunct.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_stream2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_inout.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_gen_cond_const.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_endian.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_comboloop.v: ASCII text data/verilator-4.038/test_regress/t/t_param_scope_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_inc_recurse_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_array.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fuzz_genintf_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_past.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_dup_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_accessors.cpp: C++ source, ASCII text data/verilator-4.038/test_regress/t/t_gen_for_overlap.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_context_noopt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_types_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_savable.v: ASCII text data/verilator-4.038/test_regress/t/t_unpacked_concat_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_only.v: ASCII text data/verilator-4.038/test_regress/t/t_math_pow6.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_width.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_sc_us.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_rsvd_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_memory.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_var_nonamebegin.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_missing_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_clk_2in.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_math_signed.v: ASCII text data/verilator-4.038/test_regress/t/t_var_suggest_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_fread.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_abort.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_gate_notif0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_main.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_udp.out: ASCII text data/verilator-4.038/test_regress/t/t_func_wide_out_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen12_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_x_assign.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_enumeration.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_const_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_struct_init_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex_params.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_extends_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_2in.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_in_assign_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_time_sc.v: ASCII text data/verilator-4.038/test_regress/t/t_var_port2_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_forif.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_fi.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_gate_elim.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_timescale_override.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_subout_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_clocker.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_const_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_shortreal.v: ASCII text data/verilator-4.038/test_regress/t/t_emit_constw.v: ASCII text data/verilator-4.038/test_regress/t/t_udp.v: ASCII text data/verilator-4.038/test_regress/t/t_case_write2_tasks.v: ASCII text data/verilator-4.038/test_regress/t/t_class_member_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_literals.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_bad_hide.out: ASCII text data/verilator-4.038/test_regress/t/t_math_shift_sel.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_input_eq_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_shortcircuit.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_type_methods_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_dist_install.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_comp_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_string_type_methods.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_cond.v: ASCII text data/verilator-4.038/test_regress/t/t_select_plus.v: ASCII text data/verilator-4.038/test_regress/t/t_class_null_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_timing_clkgen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sv_bus_mux_demux.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_overwide.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_delref.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_dotted_dup_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_select_lhs_oob.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_comb_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_dotted.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_pull2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_foreach.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_var.vlt: ASCII text data/verilator-4.038/test_regress/t/t_runflag_seed.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_x_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_class_null_bad.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_threads_counter_4.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unopt_combo.v: ASCII text data/verilator-4.038/test_regress/t/t_fuzz_eqne_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_eq.v: ASCII text data/verilator-4.038/test_regress/t/t_sv_conditional.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_multitop1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_const_struct_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_bench_mux4k_onecpu.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_errorlimit_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_unbounded.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_concat4.v: ASCII text data/verilator-4.038/test_regress/t/t_xml_flat.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fuzz_always_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fuzz_negwidth_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_preproc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_wfatal.out: ASCII text data/verilator-4.038/test_regress/t/t_order_wireloop.v: ASCII text data/verilator-4.038/test_regress/t/t_param_array3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_disabled.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_seg.v: ASCII text data/verilator-4.038/test_regress/t/t_param_type3.v: ASCII text data/verilator-4.038/test_regress/t/t_order_quad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_packed.v: ASCII text data/verilator-4.038/test_regress/t/t_param_wide_io.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_dotted_inl0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_public.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_type2.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_unroll_genf.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_gate.v: ASCII text data/verilator-4.038/test_regress/t/t_var_dup2_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_time_sc_ns.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_literal_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_rand_seed.out: ASCII text data/verilator-4.038/test_regress/t/t_math_divw.v: ASCII text data/verilator-4.038/test_regress/t/t_func_real_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_xml_tag.v: ASCII text, with very long lines data/verilator-4.038/test_regress/t/t_class_method.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_modport_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen4_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_detectarray_1.v: ASCII text data/verilator-4.038/test_regress/t/t_for_comma_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_huge.v: ASCII text data/verilator-4.038/test_regress/t/t_split_var_0.vlt: ASCII text data/verilator-4.038/test_regress/t/t_interface_modport_dir_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_vcmarker_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_semaphore_parse.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_array_connect.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_import_name_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_block_redecl_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_types_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_check.v: ASCII text data/verilator-4.038/test_regress/t/t_var_bad_hide2.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen3_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_timescale_parse.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_lint_incabspath.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_divide.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc.out: ASCII text data/verilator-4.038/test_regress/t/t_gate_basic.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_genfor.v: ASCII text data/verilator-4.038/test_regress/t/t_arraysel_wide.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_mod_paren_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_multitop_sig.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_rom.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_countbits_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_memory.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen11.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_importstar_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_package_enum.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_orig.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vams_wreal.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_implicit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_final.v: ASCII text data/verilator-4.038/test_regress/t/t_semaphore.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_declfilename_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_getenv.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dist_error_format.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_cond_clean.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_while.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_with_bbox.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_implicit.v: ASCII text data/verilator-4.038/test_regress/t/t_vlt_warn.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_index.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_init.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_bind_public.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_const_packed_struct_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_nest.v: ASCII text data/verilator-4.038/test_regress/t/t_package.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_split_var_3_wreal.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_elim.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_abs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_packed_write_read.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_f__3.v: ASCII text data/verilator-4.038/test_regress/t/t_event_control_unsup.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_return.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_fread.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_abort_fst.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_two_hdr_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_huge_sub2.v: ASCII text data/verilator-4.038/test_regress/t/t_var_dup2.v: ASCII text data/verilator-4.038/test_regress/t/t_select_param.v: ASCII text data/verilator-4.038/test_regress/t/t_order_multialways.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_udp_lint.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_string_type_methods_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_pull01.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_recurse2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_dupitems.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_preproc_ifdef.v: ASCII text data/verilator-4.038/test_regress/t/t_vlcov_flag_invalid_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_display_mcd.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_concat_large_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_type_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_multidim_trace.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_multialways.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_name3.v: ASCII text data/verilator-4.038/test_regress/t/t_class_new.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_2in.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_alw.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_ifdepth_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_fork_disable.v: ASCII text data/verilator-4.038/test_regress/t/t_order_2d.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_prot_lib_inout_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_langext_3.v: ASCII text data/verilator-4.038/test_regress/t/t_iff.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_interface_modportlist.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_down_inlad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_rsvd_port.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_v2k__sub.vi: ASCII text data/verilator-4.038/test_regress/t/t_if_swap.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_program.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_sel_range.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_increment_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_xml_output.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_reverse.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_concat.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_shift_over_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_math_real_round.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_graph.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_clkinst_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_sel.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_lib.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_langext_1.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_dsp.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_b.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_xinitial_unique.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_for2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_concat64.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_module.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_avec.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_defparam.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_synth_parallel_vlt.out: JSON data data/verilator-4.038/test_regress/t/t_initarray_nonarray.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_self_return.v: ASCII text data/verilator-4.038/test_regress/t/t_order_comboloop.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_const_part.v: ASCII text data/verilator-4.038/test_regress/t/t_xml_first.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_timescale_parse_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_math_pick.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_sys_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_string_type_methods.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_ref_trace_inlb.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_display.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_two_sc.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_interface_mismodport_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_concat.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_wfatal.v: ASCII text data/verilator-4.038/test_regress/t/t_func_const_struct_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_multi_io.v: ASCII text data/verilator-4.038/test_regress/t/t_hierarchy_identifier.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface1_modport.v: ASCII text data/verilator-4.038/test_regress/t/t_runflag.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_always_comb_iface.v: ASCII text data/verilator-4.038/test_regress/t/t_var_vec_sel.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen2_collision.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_local.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_waiveroutput.v: ASCII text data/verilator-4.038/test_regress/t/t_package_param.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_class_copy_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_dump_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_first.v: ASCII text data/verilator-4.038/test_regress/t/t_for_local.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_width_genfor_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_hierarchy_unnamed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_lib.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_colonplus_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_missing.v: ASCII text data/verilator-4.038/test_regress/t/t_gate_unsup.v: ASCII text data/verilator-4.038/test_regress/t/t_math_pow4.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_arg_input_type.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_delay_stmtdly_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_shift_over_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_gate_lvalue_const.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_woff.v: ASCII text data/verilator-4.038/test_regress/t/t_func_tie_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_concat_large_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_unsized_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_cover_sva_notflat.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_f_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_typedef_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_altera_lpm_mux.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mailbox_parse.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_param_another_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_past_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_mislevel.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_asvar_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_bad_end.mem: ASCII text data/verilator-4.038/test_regress/t/t_interface_down_gen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bind2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_defparam.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_slice_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_default_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_var_xref_gen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_recurse2_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_slice_dtype_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_synth_parallel.out: JSON data data/verilator-4.038/test_regress/t/t_var_ref_noinline.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_public.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_lint_restore_prag_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_pinsizes.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_tri_pull_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_clk_dsp.v: ASCII text data/verilator-4.038/test_regress/t/t_unoptflat_simple_3_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_list_bad.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_gen_intdot2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_vams.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bitsel_struct3.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_import.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_packed_write_read.v: ASCII text data/verilator-4.038/test_regress/t/t_hierarchy_identifier_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_timescale_parse_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_inout2.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_unpacked2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_recurse_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_array3.v: ASCII text data/verilator-4.038/test_regress/t/t_math_equal.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_two_port_cc.out: ASCII text data/verilator-4.038/test_regress/t/t_unroll_forfor.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_foreach_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_param2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_package.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_dpi_name_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface1_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_unsup.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_chain.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_libinc.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_first_deprecated.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen11.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_ena_sc.out: ASCII text data/verilator-4.038/test_regress/t/t_assign_inline.v: ASCII text data/verilator-4.038/test_regress/t/t_number_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_mism.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_bound_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_wire_beh1800_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_implicit_def_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_assert_implication_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen10.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_array_fst_threads_2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_default.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_noval_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_func_sum.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_for.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_converge_initial_run_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unpacked_slice.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_modport_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_lib.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_declfilename.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_hdrfst_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_merge_cond.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_x.v: ASCII text data/verilator-4.038/test_regress/t/t_math_imm2.v: ASCII text data/verilator-4.038/test_regress/t/t_math_shift_sel.v: ASCII text data/verilator-4.038/test_regress/t/t_langext_2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_flag_off.v: ASCII text data/verilator-4.038/test_regress/t/t_savable.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex_structs_fst.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_shortcircuit2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_tree.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_overwide.v: ASCII text data/verilator-4.038/test_regress/t/t_class_virtual.out: ASCII text data/verilator-4.038/test_regress/t/t_func_const.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_stringend_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_pkg_colon_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_unpacked_slice.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex_structs_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_csplit_off.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_name2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_void.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_parent_scope_bad.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/bootstrap.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bitsel_struct3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen8.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_dotted2.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_topmodule_inline.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_emit_constw.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_comma.v: ASCII text data/verilator-4.038/test_regress/t/t_case_inside.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_cond_bitrange.v: ASCII text data/verilator-4.038/test_regress/t/t_math_shift_rep.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_while.v: ASCII text data/verilator-4.038/test_regress/t/t_vpi_unimpl.v: C source, ASCII text data/verilator-4.038/test_regress/t/t_param_ddeep_width.v: ASCII text data/verilator-4.038/test_regress/t/t_vpi_unimpl.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_interface_param1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_dotted_dup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_xml_first.out: XML 1.0 document, ASCII text data/verilator-4.038/test_regress/t/t_select_negative.v: ASCII text data/verilator-4.038/test_regress/t/t_math_pow3.v: ASCII text data/verilator-4.038/test_regress/t/t_var_notfound_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_a2_first_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_public.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_concat_opt.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_unaligned.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_static_order.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_interface_dups.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_names.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_mult.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fork_disable.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_enum.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_enum.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_genblk.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_const2_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_assoc_pattern_unsup.v: ASCII text data/verilator-4.038/test_regress/t/t_alw_reorder.v: ASCII text data/verilator-4.038/test_regress/t/t_func_lib_sub.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_endian.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_select_index.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_slice.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_twod.v: ASCII text data/verilator-4.038/test_regress/t/t_func_under.v: ASCII text data/verilator-4.038/test_regress/t/t_func_public_trace.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_altera_lpm_compare.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_ttempty.v: ASCII text data/verilator-4.038/test_regress/t/t_fork_func2_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_genfor.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_embed1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_define.v: ASCII text data/verilator-4.038/test_regress/t/t_math_real_round.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_timescale_parse_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_assert_basic_off.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_inz.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_comp_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_waiveroutput_allgood.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_inz.v: ASCII text data/verilator-4.038/test_regress/t/t_func_return_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_mislevel.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_prepost.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_fi.v: ASCII text data/verilator-4.038/test_regress/t/t_var_types.v: ASCII text data/verilator-4.038/test_regress/t/t_func_bad_width.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_dtree_inlad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_var.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_shift_rep.v: ASCII text data/verilator-4.038/test_regress/t/t_vams_kwd_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_past_unsup_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_restore_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_export.v: ASCII text data/verilator-4.038/test_regress/t/t_time_vpi_1ps1fs.out: ASCII text data/verilator-4.038/test_regress/t/t_class_typedef.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_extends.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_inz.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/TestSimulator.h: C++ source, ASCII text data/verilator-4.038/test_regress/t/t_inst_dff.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_lint_in_inc_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_bound1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface.v: ASCII text data/verilator-4.038/test_regress/t/t_delay.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_missing_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_array5.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex_structs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_packed_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen9.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_alias_unsup.out: ASCII text data/verilator-4.038/test_regress/t/t_wire_beh1364_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_missing_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_const.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_pp_display.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_dumporder_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_overlap_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_modport_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_ref_trace_inla.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_future_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_misarray_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen11_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_persist2.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_writemem.gold5.mem: ASCII text data/verilator-4.038/test_regress/t/t_unopt_combo_waive.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_alw_splitord.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bind2.v: ASCII text data/verilator-4.038/test_regress/t/t_slice_cond.v: ASCII text data/verilator-4.038/test_regress/t/t_param_chain.v: ASCII text data/verilator-4.038/test_regress/t/t_alw_split_cond.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assoc.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_file_basic_uz.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_sys.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_genfor_hier.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_tree_inl0_pub1_norelcfuncs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_down.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_sc_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_banks.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_l.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_modport_export.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_bad_msb.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_dearray_slice.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_nonamebegin.v: ASCII text data/verilator-4.038/test_regress/t/t_math_repl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_default_warn.v: ASCII text data/verilator-4.038/test_regress/t/t_var_bad_hide2.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_xinitial_0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_backw_index_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_off_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_stop_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_unimpl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem_bad_notfound.out: ASCII text data/verilator-4.038/test_regress/t/t_package_abs.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_typedef_signed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_display_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_lint_setout_bad_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inside.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_port_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_array_fst_threads_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_get.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_down_gen.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_param_acc_bits.out: ASCII text data/verilator-4.038/test_regress/t/t_var_dup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type__Dpi.out: C source, ASCII text data/verilator-4.038/test_regress/t/t_class_forward.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_ref.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_underline_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_fread.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_gen.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_recurse_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_verilated_debug.out: ASCII text data/verilator-4.038/test_regress/t/t_vlt_syntax_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_cat.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_display_esc_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_default_presv_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_type_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_pattern_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_write2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_signed_wire.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_const_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dedupe_clk_gate.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_protect_ids.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_latch.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_timescale_lint_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_format_wide_decimal.out: ASCII text, with very long lines data/verilator-4.038/test_regress/t/t_func_crc.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_woff_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_star.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_writemem.gold2.mem: ASCII text data/verilator-4.038/test_regress/t/t_gen_var_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_restore_prag_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_underline_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_multitop1s.v: ASCII text data/verilator-4.038/test_regress/t/t_time_vpi_1us1ns.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_comments.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_typo_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_extend.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_arg_input_type__Dpi.out: C source, ASCII text data/verilator-4.038/test_regress/t/t_struct_packed_write_read.v: ASCII text data/verilator-4.038/test_regress/t/t_var_const.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_2exp_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_make_cmake.v: ASCII text data/verilator-4.038/test_regress/t/t_var_init.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_down_inld.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_method.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_dpi_shortcircuit_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_struct_packed_write_read.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_x_assign_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_self_return.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_darray.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_numwidth.v: ASCII text data/verilator-4.038/test_regress/t/t_mod_nomod.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_cmtend_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_port2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_no_parentheses.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_default_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_long_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_make_cmake_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_init_concat.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_importstar_bad.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_case_write1_tasks.v: ASCII text data/verilator-4.038/test_regress/t/t_param_type_bad2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_casez.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_implication.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_concat3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_dumpfst_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_first_b.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_packed_assign.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_werror_bad3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_lsb.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_sformat.v: ASCII text data/verilator-4.038/test_regress/t/t_array_list_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_openfirst.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_and.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_vliw.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_c_api.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_array_partial.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_array_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_timescale_parse.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_multi_io2.v: ASCII text data/verilator-4.038/test_regress/t/t_time_sc_sec.out: ASCII text data/verilator-4.038/test_regress/t/t_math_imm.v: ASCII text data/verilator-4.038/test_regress/t/t_class_dead.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_lint_repeat_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_ena.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_writemem.gold3.mem: ASCII text data/verilator-4.038/test_regress/t/t_interface_down_inlcd.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_unit.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm.v: Ruby script, ASCII text, with very long lines data/verilator-4.038/test_regress/t/t_inst_dff.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_overcmp.v: ASCII text data/verilator-4.038/test_regress/t/t_select_little.v: ASCII text data/verilator-4.038/test_regress/t/t_class_forward.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_func_bad2.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_circdef_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_assoc_pattern_unsup.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_void_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_language.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_type_bad2.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_numwidth.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_type.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_sel_range_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_unused_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_property.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_package_dot.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_uniqueif_fail2.out: JSON data data/verilator-4.038/test_regress/t/t_param_type_collision.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_signed4.v: ASCII text data/verilator-4.038/test_regress/t/t_case_default_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_slice_struct_array_modport.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_runflag.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_runflag_errorlimit.v: ASCII text data/verilator-4.038/test_regress/t/t_for_loop.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_under2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_wire_behp1364_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_display.v: ASCII text data/verilator-4.038/test_regress/t/t_param_up_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_prot_lib.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_syncasyncnet_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen5_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_concat.v: ASCII text data/verilator-4.038/test_regress/t/t_case_reducer.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_twoedge.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_ifbegin.v: ASCII text data/verilator-4.038/test_regress/t/t_interface1.v: ASCII text data/verilator-4.038/test_regress/t/t_func_public.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_typedef_unused_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_named.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_pat_width.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_uniqueif_fail3.out: JSON data data/verilator-4.038/test_regress/t/t_var_ref_bad2.out: ASCII text data/verilator-4.038/test_regress/t/t_interface1_modport_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_synth_full.out: JSON data data/verilator-4.038/test_regress/t/t_math_imm2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_prot_lib_unpacked_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_accessors.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_dup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cdc_async_debug_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_v.v: ASCII text data/verilator-4.038/test_regress/t/t_mod_interface_array1_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_undefineall.v: ASCII text data/verilator-4.038/test_regress/t/t_time_sc_ms.out: ASCII text data/verilator-4.038/test_regress/t/t_generate_fatal_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_var_rsvd_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_timescale.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dist_manifest.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_unpacked_public.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_package_ddecl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_timescale.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_gate_nmos.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unroll_genf.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_svl.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_errorlimit_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_alw_split.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_blksync_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_module.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_dtree_inlac.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_first_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_sign_extend.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_always_comb_iface.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_a4_examples.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_signed6.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_restore_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_unconnected_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_flag_off.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_nest.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_enabled_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_cover.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_order_comboclkloop.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_anon.v: C source, ASCII text data/verilator-4.038/test_regress/t/t_trace_abort_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_delay.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_cover.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_comb_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dist_tabs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_sum.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_mem_iforder.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_time.v: ASCII text data/verilator-4.038/test_regress/t/t_select_set.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unopt_converge_run_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_overwidth_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_multidriven_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_notunsized.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_werror_bad1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_prot_lib_inout_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_multiwire.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_query.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_finish_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_func_const_packed_array_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_pattern_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_latch_edgestyle.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bitsel_wire_array_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_time_passed.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_wrong_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_topmodule_bad2.out: ASCII text data/verilator-4.038/test_regress/t/t_cover_line_trace.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen4.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unpacked_array_order.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_packed_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_enum_type_methods_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_vlcov_nfound_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_class_name.out: ASCII text data/verilator-4.038/test_regress/t/t_class2.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_preproc_inc_recurse_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_alias2_unsup.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_debug_sigsegv_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_modport_inl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_plus_mul_pow2.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_imp_gen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlt_syntax_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_array_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_cat_renew_0000.out: ASCII text data/verilator-4.038/test_regress/t/t_class_virtual_pure.out: ASCII text data/verilator-4.038/test_regress/t/t_case_string.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_future_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_typedef_circ_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_select_bad_tri.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_x_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_array.v: ASCII text data/verilator-4.038/test_regress/t/t_math_width.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_port_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_void_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_if_blk.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_kwd.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_slice.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_array_inl0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_unpacked.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_misarray2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_extend_class_c.h: C++ source, ASCII text data/verilator-4.038/test_regress/t/t_leak.v: ASCII text data/verilator-4.038/test_regress/t/t_func_crc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_for_break.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_shortreal_unsup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_mod_paren_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_wire_behp1800_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_export_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_init.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_typedef_circ_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_unopt_converge_unopt_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_array.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_backw_index_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_outoforder.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_yosys.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_public_sig.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_signed_wire.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_importstar_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_clk_latch.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_forif.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_mem_banks.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_assoc_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_ceil.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_zero_time_cb.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_past_unsup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_chg_first.v: ASCII text data/verilator-4.038/test_regress/t/t_time_vpi.v: Java source, ASCII text data/verilator-4.038/test_regress/t/t_interface_down_inlab.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_concat.vlt: ASCII text data/verilator-4.038/test_regress/t/t_lint_unused_iface.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_inherit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_merge.out: ASCII text data/verilator-4.038/test_regress/t/t_pp_circdef_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cdc_async_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_xinitial_unique.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_build_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_concat2.v: ASCII text data/verilator-4.038/test_regress/t/t_math_imm.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_udp_noname.out: ASCII text data/verilator-4.038/test_regress/t/t_class_null_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_notfound_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_noinl.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_param_bracket.v: ASCII text data/verilator-4.038/test_regress/t/t_time_passed.v: ASCII text data/verilator-4.038/test_regress/t/t_fork.out: ASCII text data/verilator-4.038/test_regress/t/t_langext_3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_local.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_ttempty.out: ASCII text data/verilator-4.038/test_regress/t/t_struct_unaligned.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_init_trace.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_begin2.v: ASCII text data/verilator-4.038/test_regress/t/t_vlt_syntax_bad.vlt: ASCII text data/verilator-4.038/test_regress/t/t_dpi_export_context_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_long_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_inconly.v: ASCII text data/verilator-4.038/test_regress/t/t_package_export.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dynarray_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_initial.v: ASCII text data/verilator-4.038/test_regress/t/t_genfor_hier.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_array_csplit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_const_overflow_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class1.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_multitop_sig.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_tree.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_select.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_pullup.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_event_control_unsup.v: ASCII text data/verilator-4.038/test_regress/t/t_string_type_methods_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_order_loop_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_long.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_dup2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_c_api.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_vlt_warn_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_package_abs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_bad_sameas.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen7.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_modport_dir_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_getenv.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_multidim.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_mda.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_unused.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_local.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_const_part.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_blocking.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_vpi_1ns1ns.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_multidriven.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_waiveroutput_allgood.out: ASCII text data/verilator-4.038/test_regress/t/t_packed_concat_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_reloop_cam.v: ASCII text data/verilator-4.038/test_regress/t/t_display_merge.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_nofile_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_dotted_dup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_clkinst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_synth.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_name.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_math_shiftrs.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_inside_cond.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_xml_first.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_wire_types.v: ASCII text data/verilator-4.038/test_regress/t/t_select_bad_range.out: ASCII text data/verilator-4.038/test_regress/t/t_var_notfound_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_multi_io.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_array_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_case_nest.v: ASCII text, with very long lines data/verilator-4.038/test_regress/t/t_select_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_const_packed_struct_bad2.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_file_basic.v: ASCII text data/verilator-4.038/test_regress/t/t_randomize.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_outoforder.v: ASCII text data/verilator-4.038/test_regress/t/t_queue_unsup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_always_comb_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_topmodule_bad2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_intdot.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_interface2_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_inl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dist_fixme.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_bad_sameas.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_alias2_unsup.out: ASCII text data/verilator-4.038/test_regress/t/t_process.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_array_nocolon.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_dup_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_darray.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_enum_recurse_bad2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_split_var_1_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cdc_async_debug_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_overwidth_bad.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_lint_modport_dir_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_gate_lvalue_const.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_context_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_fork_func_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_export_context_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_math_shift.v: ASCII text data/verilator-4.038/test_regress/t/t_a1_first_cc.v: ASCII text data/verilator-4.038/test_regress/t/t_fork_func2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_ref_bad1.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_enabled_off.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_langext_4.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_increment_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_time.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen9.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_imp_gen_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_preproc_inc_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_time_print.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_colonplus_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_once_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_default_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_real2.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_def09.v: ASCII text data/verilator-4.038/test_regress/t/t_stream.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_optm_redor.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_ldflags.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem_bad_digit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_modport_export.v: C source, ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_xor.pl: Perl script text executable data/verilator-4.038/test_regress/t/tsub/t_flag_f_tsub_inc.v: ASCII text data/verilator-4.038/test_regress/t/tsub/t_flag_f_tsub.vc: ASCII text data/verilator-4.038/test_regress/t/tsub/t_flag_f_tsub.v: ASCII text data/verilator-4.038/test_regress/t/t_func_const_packed_array_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_multitop_sig.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_flag_werror.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_modport_import.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_bad_hide.out: ASCII text data/verilator-4.038/test_regress/t/t_order_wireloop.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_hdr_cc.out: ASCII text data/verilator-4.038/test_regress/t/t_prot_lib.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_wpedantic_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_tri.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_timescale_override.out: ASCII text data/verilator-4.038/test_regress/t/t_select_lhs_oob.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_stop_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_names.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_local.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_default.v: ASCII text data/verilator-4.038/test_regress/t/t_unconnected.v: ASCII text data/verilator-4.038/test_regress/t/t_x_assign_0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_inp_init.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_pp_resetall_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_default_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_suggest_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_dtree_inld.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_dup_ign.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_mem_attr.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen2.v: ASCII text data/verilator-4.038/test_regress/t/t_math_imm2.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_mem_slice_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_array_pattern_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_gen_cond_bitrange.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_gen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_param.v: C source, ASCII text data/verilator-4.038/test_regress/t/t_unopt_converge_initial.v: ASCII text data/verilator-4.038/test_regress/t/t_typedef_signed.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_struct_pat_width.v: ASCII text data/verilator-4.038/test_regress/t/t_vlcov_flag_invalid_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_shift.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_ifdepth_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_restore_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_preproc_def09.out: ASCII text data/verilator-4.038/test_regress/t/t_time_vpi_100s10ms.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_file_basic_mcd_test2_0.dat: ASCII text data/verilator-4.038/test_regress/t/t_lint_import_name_bad.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_interface_array.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_verilate.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_huge_sub3.v: ASCII text data/verilator-4.038/test_regress/t/t_func_regfirst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_countbits_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_unused_iface_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_initial_inc.vh: ASCII text data/verilator-4.038/test_regress/t/t_dpi_dup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_parameter_hier.v: ASCII text data/verilator-4.038/test_regress/t/t_fork_bbox.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_bad_tri.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_public_func.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_typedef_port.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fork_bbox.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_implicit_port.v: ASCII text data/verilator-4.038/test_regress/t/t_array_mda.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mailbox.out: ASCII text data/verilator-4.038/test_regress/t/t_interface1_modport_nansi.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_redef.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_slot.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_struct_packed_sysfunct.v: ASCII text data/verilator-4.038/test_regress/t/t_unpacked_array_order.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_relinc.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_inc_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_qw_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_wire_beh1364_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_class_method_bad.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_pp_resetall_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_fuzz_eof_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_packed_value_list.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_quiet_exit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_down_inla.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_dangle.v: ASCII text data/verilator-4.038/test_regress/t/t_math_red.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_clog2.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_decode.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_inc_recurse_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_countbits_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_for_break.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_inp_init.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cover_line_cc_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_portsel.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_defparam_unsup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_first_deprecated.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_set_link.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_ifdef.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_copy.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_math_div.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_fifo.v: ASCII text data/verilator-4.038/test_regress/t/t_packed_concat_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_parameter.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_condflop_nord.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_system.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_cat_renew.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_portfst_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_concat_large_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_dtree.v: ASCII text data/verilator-4.038/test_regress/t/t_param_width_loc_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_bitsel_struct2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_const_dec_mixed_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_cmtend_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_func_dotted_inl1.vlt: ASCII text data/verilator-4.038/test_regress/t/t_flag_build.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_relinc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_if.v: ASCII text data/verilator-4.038/test_regress/t/t_alw_combdly.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_werror_bad2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_outfirst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bitsel_wire_array_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_array_type_methods.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_for1.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_gate_chained.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_dup3.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_h.mem: ASCII text data/verilator-4.038/test_regress/t/t_stream3.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_math_arith.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_literal_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_assert_disable_iff.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_enabled_on_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_pindup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_uniqueif_fail4.out: JSON data data/verilator-4.038/test_regress/t/t_assert_synth_parallel_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_number_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen7_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_return.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_ref_trace.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_inside_cond_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_bad_sameas.out: ASCII text data/verilator-4.038/test_regress/t/t_unicode.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_const_struct_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_concat6.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_file_scan_input.dat: ASCII text data/verilator-4.038/test_regress/t/t_lint_multidriven_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_inout2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_ormux.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_colonplus_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_ref_trace.out: ASCII text data/verilator-4.038/test_regress/t/t_order_first.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_randomize_bbox.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_inconly.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_concat4.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_const_packed_struct_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_var_in_assign.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_ram_dq.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_first_b.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_f__2.vc: ASCII text data/verilator-4.038/test_regress/t/t_gen_cond_bitrange_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_overlap_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_disable_iff.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_cover_off.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_werror_bad3.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_cat_renew.out: ASCII text data/verilator-4.038/test_regress/t/t_time_vpi_10ms10ns.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_dtree_inla.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_wide.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_shortcircuit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_castdyn.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_concat0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_quad.v: ASCII text data/verilator-4.038/test_regress/t/t_array_interface.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_setout_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_concat.v: ASCII text data/verilator-4.038/test_regress/t/t_var_dup3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_trig.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_timescale.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_param_shift.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_synth_full.vlt: ASCII text data/verilator-4.038/test_regress/t/t_inside.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen12.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_merge_cond.v: ASCII text data/verilator-4.038/test_regress/t/t_func_void.v: ASCII text data/verilator-4.038/test_regress/t/t_langext_order.v: ASCII text data/verilator-4.038/test_regress/t/t_gated_clk_1.v: ASCII text data/verilator-4.038/test_regress/t/t_var_port2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_plusargs.v: ASCII text data/verilator-4.038/test_regress/t/t_rnd.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_auto1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dos.v: ASCII text, with CRLF line terminators data/verilator-4.038/test_regress/t/t_altera_lpm_or.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_primitive_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_ref_bad2.v: ASCII text data/verilator-4.038/test_regress/t/t_dedupe_clk_gate.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_misdef_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_iff.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_string.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_for_count.v: ASCII text data/verilator-4.038/test_regress/t/t_func_const3_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_topmodule.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_width_genfor.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_down_inlbd.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_declfilename.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_counter.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_prot_lib_unpacked_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_restore_prag_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_array_pattern_packed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clocker.out: ASCII text data/verilator-4.038/test_regress/t/t_mod_interface_array3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlt_syntax_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_vgen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_alw_splitord.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_bad_digit.mem: ASCII text data/verilator-4.038/test_regress/t/t_pp_pragma_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_recurse_bad2.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_signed.v: ASCII text data/verilator-4.038/test_regress/t/t_var_set_link.v: ASCII text data/verilator-4.038/test_regress/t/t_array_rev.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_public.v: ASCII text data/verilator-4.038/test_regress/t/t_display_signed_noopt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_unpacked.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_new_bad.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_func_const_packed_struct_bad2.out: ASCII text data/verilator-4.038/test_regress/t/t_case_huge_prof.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_signed7.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_bad_range3.v: ASCII text data/verilator-4.038/test_regress/t/t_const_overflow_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen8.v: ASCII text data/verilator-4.038/test_regress/t/t_case_write1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_local.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_for_init_bug.v: ASCII text data/verilator-4.038/test_regress/t/t_bitsel_const_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_result_type.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_pow5.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_dumporder_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_const_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_tree_inl0_pub0.vlt: ASCII text data/verilator-4.038/test_regress/t/t_display_real_noopt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_write1.out: JSON data data/verilator-4.038/test_regress/t/t_typedef_array.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_interface_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_signed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_extern.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_pkg_colon_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_cond_const.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_lsb.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_mem_multi_io3.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_first.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_assoc.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_display.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_package_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen8_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_queue_bounded.v: ASCII text data/verilator-4.038/test_regress/t/t_func_v.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_wide.out: JSON data data/verilator-4.038/test_regress/t/t_sys_plusargs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_virtual_pure.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_multi_io2_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_clog2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_version.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sv_cpu.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_precedence.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_leak.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_named_2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_threads.v: Java source, ASCII text data/verilator-4.038/test_regress/t/t_func_first.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_accessors_inc.vh: ASCII text data/verilator-4.038/test_regress/t/t_sys_writemem.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen4.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_writemem.gold1.mem: ASCII text data/verilator-4.038/test_regress/t/t_lint_unsup_mixed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unopt_bound.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_mp_func.v: ASCII text data/verilator-4.038/test_regress/t/t_select_bad_msb.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlcov_data_a.dat: data data/verilator-4.038/test_regress/t/t_lint_modport_dir_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_bound_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fuzz_eqne_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_parameter_hier.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_cmp.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unopt_array.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_unconn.v: ASCII text data/verilator-4.038/test_regress/t/t_time_print.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_vams.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_bitsel_struct.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_index.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_int.pl: Perl script text executable data/verilator-4.038/test_regress/t/.gitattributes: ASCII text data/verilator-4.038/test_regress/t/t_param_default_presv_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen9_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_hierarchy_identifier_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dist_inctree.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_parameter_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_bad_msb.out: ASCII text data/verilator-4.038/test_regress/t/t_vpi_time_cb.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_literals.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_eq.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_implicit_def_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_castdyn.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_in_inc_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_var_vec_sel.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_converge_run_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_gen_var_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_typedef_circ_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem_assoc_c_b.out: ASCII text data/verilator-4.038/test_regress/t/t_var_pins_sc_biguint.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_svl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_inside_cond.v: ASCII text data/verilator-4.038/test_regress/t/t_var_dup2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_tree_inl1_pub1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_stamp64.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_vcmarker_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_dedupe_seq_logic.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_write2.out: JSON data data/verilator-4.038/test_regress/t/t_func_bad2.out: ASCII text data/verilator-4.038/test_regress/t/t_time_sc_ms.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_dup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_bitsel_slice.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_xml_tag.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_circ_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_concat_link_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_concat5.v: ASCII text data/verilator-4.038/test_regress/t/t_type_param_collision.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cover_line.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_ifdepth_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_suggest_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_persist.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_numones.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_casez.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_basic.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_f.v: ASCII text data/verilator-4.038/test_regress/t/t_time_stamp_double.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_file_basic_input.dat: ASCII text data/verilator-4.038/test_regress/t/t_gen_if.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_wpedantic_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_scope_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_display_real.v: ASCII text data/verilator-4.038/test_regress/t/t_math_div.v: ASCII text data/verilator-4.038/test_regress/t/t_debug_fatalsrc_bt_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_return_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_recurse_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_rsvd_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_concat_sel_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_context_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_packed_concat_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_class_class.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_bad_range3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_signed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_noopt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_arraymux.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_tri_array_bufif.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_export_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_cover_line_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_reducer.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_on.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_bboxsys.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_misdef_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_array4.v: ASCII text data/verilator-4.038/test_regress/t/t_func_named.v: ASCII text data/verilator-4.038/test_regress/t/t_package.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_interface_gen3.v: ASCII text data/verilator-4.038/test_regress/t/t_case_zx_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_vecgen2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_a.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_in_inc_bad_2.vh: ASCII text data/verilator-4.038/test_regress/t/t_trace_cat.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_converge.v: ASCII text data/verilator-4.038/test_regress/t/t_display_real.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_no_parentheses.v: ASCII text data/verilator-4.038/test_regress/t/t_chg_first.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_local.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bitsel_struct2.v: ASCII text data/verilator-4.038/test_regress/t/t_initial_edge.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_qw.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_modport_import.v: ASCII text data/verilator-4.038/test_regress/t/t_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_l.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_comp.v: ASCII text data/verilator-4.038/test_regress/t/t_var_life.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_defaults.v: ASCII text data/verilator-4.038/test_regress/t/t_func_grey.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_pull2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_language.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_mismodport_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_while.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_missing.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_mod_paren_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_type_pins.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_dtree_inlbc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_width_genfor.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_import_name2_bad.v: Java source, ASCII text data/verilator-4.038/test_regress/t/t_func_v_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_typedef_port.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_metacmt_onoff.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_mism.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_vpi_1ps1fs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_tree_inl1_pub0.vlt: ASCII text data/verilator-4.038/test_regress/t/t_math_shortreal.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_typedef_package.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_select_plus.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_altera_lpm_latch.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_size_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_dotted1_inl0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_parameter_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_strwidth.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_twoedge.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_lint_defparam_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vams_wreal.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_two_hdr_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_arg_input_type.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_gate_notif1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_attr_parenstar.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_dos.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_string.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_var_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_gater.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_deep.v: ASCII text data/verilator-4.038/test_regress/t/t_concat_link_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_var_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_multi_ref_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_func_const3_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_file_basic_mcd.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_inc4.vh: ASCII text data/verilator-4.038/test_regress/t/t_lint_unused_iface_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_display.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_logic_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_dist_whitespace.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_public.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_math_divw.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_mul.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_clkinst_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_case_string.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_decoration.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_stop_bad_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_x_assign_unique_0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_array_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_dotted_inl0_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_multitop_sig_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_package_twodeep.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_waiveroutput.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_wire_beh1800_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_case_deep.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_div0.v: ASCII text data/verilator-4.038/test_regress/t/t_display_realtime.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clocker.v: ASCII text data/verilator-4.038/test_regress/t/t_param_ddeep_width.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_pragmas.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_bad_addr.v: ASCII text data/verilator-4.038/test_regress/t/t_timing_reentry.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_loop_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_result_type.v: ASCII text data/verilator-4.038/test_regress/t/t_func_mlog2.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_dup_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_inc_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_class_extends.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.out: ASCII text data/verilator-4.038/test_regress/t/t_gen_defparam_unsup_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_ttempty.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_genvar_for_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_woff.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_scope_map.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_ena_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_for_funcbound.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_misdef_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_modport_dir_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_unsup_mixed.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_genblk_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_result_type_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bind.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_infinite.v: ASCII text data/verilator-4.038/test_regress/t/t_unroll_signed.v: ASCII text data/verilator-4.038/test_regress/t/t_time_vpi_1s10ns.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_import.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_import_name2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_gate_pmos.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_slice_dtype_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_unpacked_concat_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_export_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_enum_recurse_bad2.out: ASCII text data/verilator-4.038/test_regress/t/t_genvar_misuse_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_timescale_default.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_file_basic_uz.dat: data data/verilator-4.038/test_regress/t/t_tri_gen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_initial_dlyass.v: ASCII text data/verilator-4.038/test_regress/t/t_select_bound1.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_clk_condflop.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_prot_lib_secret.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_unconn.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_intdot2.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_tri_select.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_pullvec_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_compare.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_array_connect.v: ASCII text data/verilator-4.038/test_regress/t/t_param_in_func_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_comb_use.v: ASCII text data/verilator-4.038/test_regress/t/t_threads_counter.v: ASCII text data/verilator-4.038/test_regress/t/t_display_time.out: ASCII text data/verilator-4.038/test_regress/t/t_var_dotted2_inl1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_latchgate.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_for_count.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_extends_this.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_preproc_inc3.vh: ASCII text data/verilator-4.038/test_regress/t/t_select_bad_range.v: ASCII text data/verilator-4.038/test_regress/t/t_var_tieout.v: ASCII text data/verilator-4.038/test_regress/t/t_fuzz_always_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_param_real.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_print.out: JSON data data/verilator-4.038/test_regress/t/t_flag_nomod_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_wire_behp1800_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_pattern_unpacked.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_packed_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_fuzz_always_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_math_signed7.v: ASCII text data/verilator-4.038/test_regress/t/t_func_const2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_cat.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_nomod.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_sel_range_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_var.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_clk_inp_init.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_def09.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_writemem.gold4.mem: ASCII text data/verilator-4.038/test_regress/t/t_unopt_converge_ndbg_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_parameter.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlcov_rewrite.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_doubleloop.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_topmod2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_eqcase.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_missing_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_index2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_export_context_bad.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_clk_vecgen1.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_sys_writemem_b.gold3.mem: ASCII text data/verilator-4.038/test_regress/t/t_alw_dly.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_protect_ids_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_class_unsup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_pipe_filter.out: ASCII text data/verilator-4.038/test_regress/t/t_clk_gate_ext.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_for_shuffle.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fork_disable.out: ASCII text data/verilator-4.038/test_regress/t/t_var_port_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_uniqueif.v: ASCII text data/verilator-4.038/test_regress/t/t_case_genx_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_f.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mailbox.v: ASCII text data/verilator-4.038/test_regress/t/t_timescale_lint_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_value.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_timing_reentry.v: ASCII text data/verilator-4.038/test_regress/t/t_func_wide_out_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_typedef_array.v: ASCII text data/verilator-4.038/test_regress/t/t_stream.v: ASCII text data/verilator-4.038/test_regress/t/t_cover_toggle.v: ASCII text data/verilator-4.038/test_regress/t/t_class_typedef.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_incabspath_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_persist.v: ASCII text data/verilator-4.038/test_regress/t/t_typename.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_inc_notfound_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_math_pow2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_slice_part_select.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_inst_port_array.v: ASCII text data/verilator-4.038/test_regress/t/t_var_overwidth_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_dynarray.v: ASCII text data/verilator-4.038/test_regress/t/t_func_return_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_generate_fatal_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_repl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_process_parse.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_primitive.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_defines.out: ASCII text data/verilator-4.038/test_regress/t/t_clk_gater.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_lint_blksync_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_mod_interface_array2.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_init.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_past.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_flag_define.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_future.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_combo.vlt: ASCII text data/verilator-4.038/test_regress/t/t_func_twocall.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_defparam_unsup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_select_bad_range2.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_vams.v: ASCII text data/verilator-4.038/test_regress/t/t_vpi_finish.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_format_wide_decimal.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_litendian.out: ASCII text, with very long lines data/verilator-4.038/test_regress/t/t_func_wide.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_for_shuffle.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_gen_div0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_real_abs.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_sign_extend.v: ASCII text data/verilator-4.038/test_regress/t/t_gate_fdup.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_lib_library.v: ASCII text data/verilator-4.038/test_regress/t/t_pipe_filter.pf: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_main_sc_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_const.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_stats.v: ASCII text data/verilator-4.038/test_regress/t/t_case_group.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_type_methods.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_init_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_pins_sc64.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fuzz_negwidth_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display.out: JSON data data/verilator-4.038/test_regress/t/t_class_param.out: ASCII text data/verilator-4.038/test_regress/t/t_class1.out: ASCII text data/verilator-4.038/test_regress/t/t_typedef_unused_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_gated_clk_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_sys.v: Java source, ASCII text data/verilator-4.038/test_regress/t/t_trace_complex_fst_threads_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_array_modport.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_mlog2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_decoration.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_timescale.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_nonamebegin.out: ASCII text data/verilator-4.038/test_regress/t/t_param_width_loc_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_main.v: ASCII text data/verilator-4.038/test_regress/t/t_math_real.v: ASCII text data/verilator-4.038/test_regress/t/t_uniqueif.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_writemem_b.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_inherit.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_stringend_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_assoc_wildcard_unsup.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_method_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_split_var_1_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_two_dump_cc.out: ASCII text data/verilator-4.038/test_regress/t/t_dos.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_for1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_port_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_sv.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_arg_output_type.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_make_cmake.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_ceil.v: ASCII text data/verilator-4.038/test_regress/t/t_order_clkinst.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_blksync_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_verilated_debug.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_rsvd_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_for_comma_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_ldflags_so.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_flag_werror_bad2.out: ASCII text data/verilator-4.038/test_regress/t/t_time_passed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_multidim_Ox.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_file_basic_uz.v: ASCII text data/verilator-4.038/test_regress/t/t_array_query.v: ASCII text data/verilator-4.038/test_regress/t/t_with_unsup.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_var.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_protect_ids_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_notfound_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_with_unsup.v: ASCII text data/verilator-4.038/test_regress/t/t_verilated_debug.v: ASCII text data/verilator-4.038/test_regress/t/t_func_const2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_udp_noname.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_basic.v: ASCII text data/verilator-4.038/test_regress/t/t_dedupe_seq_logic.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_inc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_synth.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_array_threads_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_defparen_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_complex_fst_threads_2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cover_sva_notflat.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_packed_assign.v: ASCII text data/verilator-4.038/test_regress/t/t_param_first.v: ASCII text data/verilator-4.038/test_regress/t/t_driver_random.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_savable_class_bad.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_savable_class_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_sc.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_combo.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_fst.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_flag_build_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_case_onehot.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_cond_bitrange_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_array_nocolon_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_star.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_const_dec_mixed_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_misarray2_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_rand.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_mismodport_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_func_public.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_invalid2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_hdrfst_cc.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_pullup.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_assign.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_plusloop.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_foreach.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_align_h.mem: ASCII text data/verilator-4.038/test_regress/t/t_flag_wpedantic_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_define.vc: ASCII text data/verilator-4.038/test_regress/t/t_clk_gen.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_width_genfor_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_time_vpi_1fs1fs.out: ASCII text data/verilator-4.038/test_regress/t/t_scope_map.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_defparen_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_real_param.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_export_noopt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_slice_struct_array_modport.v: ASCII text data/verilator-4.038/test_regress/t/t_math_shortreal_unsup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_wait.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_extern.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_detectarray_2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_multiwire.v: ASCII text data/verilator-4.038/test_regress/t/t_mod_interface_array1.v: ASCII text data/verilator-4.038/test_regress/t/t_var_ref_bad2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_unpacked2.out: ASCII text data/verilator-4.038/test_regress/t/t_func_numones.v: ASCII text data/verilator-4.038/test_regress/t/t_math_msvc_64.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_implicit_def_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_large_methods.v: ASCII text data/verilator-4.038/test_regress/t/t_math_real.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_embed1.v: ASCII text data/verilator-4.038/test_regress/t/t_func_dotted_inl2_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_hierarchy_unnamed.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_b.mem: ASCII text data/verilator-4.038/test_regress/t/t_math_real_public.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_if_blk.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_inst_wideconst.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_array_inl1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_modport.v: ASCII text data/verilator-4.038/test_regress/t/t_sv_bus_mux_demux.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_ram_io.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_protect_ids_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_context.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_implicit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_skipidentical.v: ASCII text data/verilator-4.038/test_regress/t/t_fork_func2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_itemwidth.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_arg_input_type.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_unsup_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex_fst.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_param_acc_bits.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_type_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_class_module.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_var_ref_bad3.out: ASCII text data/verilator-4.038/test_regress/t/t_unbounded.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex_params.out: ASCII text data/verilator-4.038/test_regress/t/t_pp_resetall_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_string.out: ASCII text data/verilator-4.038/test_regress/t/t_pli_bbox.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_litendian.v: ASCII text data/verilator-4.038/test_regress/t/t_var_in_assign.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_runflag_seed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_basic.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_real2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_negative.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_woff_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_display_mcd.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_language_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cover_toggle.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_a1_first_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_sc_ns.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_gate_bufif0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_elab_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_list_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dynarray.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_longname.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_circ_subst_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_param_acc_bits.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_unsup_deassign.v: ASCII text data/verilator-4.038/test_regress/t/t_verilated_all_newest.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_question.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_xml_output.out: XML 1.0 document, ASCII text data/verilator-4.038/test_regress/t/t_vlcov_info.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_ormux.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_var_assign_landr.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unroll_signed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_event.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_recurse_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_syncasyncnet_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_lib.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_bound2.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_trace_two_dump_sc.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_result_type__Dpi.out: C source, ASCII text data/verilator-4.038/test_regress/t/t_preproc_persist.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen2_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_copy_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_bind.v: ASCII text data/verilator-4.038/test_regress/t/t_bug3180.v: ASCII text data/verilator-4.038/test_regress/t/t_with_unsup.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_long.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_signed1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_pragma_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_param_named_2.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_condflop_nord.v: ASCII text data/verilator-4.038/test_regress/t/t_select_runtime_range.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_castdyn.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_cat.out: ASCII text data/verilator-4.038/test_regress/t/t_array_pattern_packed.v: ASCII text data/verilator-4.038/test_regress/t/t_protect_ids_key.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen5.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_ccall.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_latch_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_port.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_type_param.v: ASCII text data/verilator-4.038/test_regress/t/t_program.v: ASCII text data/verilator-4.038/test_regress/t/t_func_bad_width.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_ref_trace_fst.out: ASCII text data/verilator-4.038/test_regress/t/t_scope_map.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_interface_down_inlac.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_concat_opt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_for_comma_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_fork_func_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_debug_graph_test.v: ASCII text data/verilator-4.038/test_regress/t/t_initarray_nonarray.v: ASCII text data/verilator-4.038/test_regress/t/t_vpi_zero_time_cb.v: ASCII text data/verilator-4.038/test_regress/t/t_var_static.v: ASCII text data/verilator-4.038/test_regress/t/t_enumeration.v: ASCII text data/verilator-4.038/test_regress/t/t_alias2_unsup.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen12.v: ASCII text data/verilator-4.038/test_regress/t/t_attr_parenstar.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_clshift.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_package_verb.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_concat5.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_bit_sel.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_array_bufif.v: ASCII text data/verilator-4.038/test_regress/t/t_wire_behp1364_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_altera_lpm_ram_dp.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_context_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_for_local.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_in_assign_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_signed1.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_size.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_trig.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_ena_cc.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_werror_bad1.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_tree_inl0_pub0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_array5.v: ASCII text data/verilator-4.038/test_regress/t/t_param_bit_sel.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_lib_inc.vh: ASCII text data/verilator-4.038/test_regress/t/t_interface_modport_import_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_noop_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_persist_inc.v: ASCII text data/verilator-4.038/test_regress/t/t_x_assign.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_missing.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_width_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_packed_sysfunct.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_array.v: ASCII text data/verilator-4.038/test_regress/t/t_stream2.v: ASCII text data/verilator-4.038/test_regress/t/t_fork.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_top_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_debugi9.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_alw_nosplit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_concat64.v: ASCII text data/verilator-4.038/test_regress/t/t_display_esc_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_bad_end.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_array.v: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/pads_if.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/ac_dig.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/pad_gpio.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/ac.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/cpu.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/pads_h.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/pads.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/ports.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/pad_gnd.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/timescale.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/pad_vdd.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/rom.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/ac_ana.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/adrdec.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/chip.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/genbus_if.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/program_h.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/pinout_h.sv: ASCII text data/verilator-4.038/test_regress/t/t_sv_cpu_code/ports_h.sv: ASCII text data/verilator-4.038/test_regress/t/t_split_var_3_wreal.v: ASCII text data/verilator-4.038/test_regress/t/t_string_type_methods_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_rand.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_pli_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_system.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_threads_counter_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_packed_struct.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlcov_debugi.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_make_cmake_sc.v: ASCII text data/verilator-4.038/test_regress/t/t_var_pins_sc_uint.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem_bad_end.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_const_overflow_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_circ_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_protect_ids.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_comma_inl1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_static_elab.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_sformat.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_packed_struct.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_defines.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_export_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_cast.v: ASCII text data/verilator-4.038/test_regress/t/t_case_group.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_countbits.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_arg_input_type.out: ASCII text data/verilator-4.038/test_regress/t/t_mem.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_down_inlc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_vgen.v: ASCII text data/verilator-4.038/test_regress/t/t_display_esc_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_select_index2.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_always_comb_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_var_static.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_file_basic.out: JSON data data/verilator-4.038/test_regress/t/t_func_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_type_pins.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_multidriven.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_dpi_threads_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_gen_for0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_altera_lpm_constant.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_tree_inl1_pub1.vlt: ASCII text data/verilator-4.038/test_regress/t/t_math_signed6.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_finish.v: ASCII text data/verilator-4.038/test_regress/t/t_array_pattern_2d.v: ASCII text data/verilator-4.038/test_regress/t/t_langext_order_sub.v: ASCII text data/verilator-4.038/test_regress/t/t_x_assign_unique_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_abort.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_const_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_dotted1_inl2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_pow5.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_block_redecl_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_pull_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_timescale_lint_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_timescale.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_shift.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unopt_converge_unopt_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_queue_bounded.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_altera_lpm_fifo.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_dup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_bad2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_mem_slice_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_overlap_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_csplit.v: ASCII text data/verilator-4.038/test_regress/t/t_var_pins_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bitsel_const_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_port_array.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_threads_counter_0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_pull2_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_subout_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_string.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_display.out: ASCII text data/verilator-4.038/test_regress/t/t_crazy_sel.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_delay_func_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_scope_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_stop_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_select_unsized.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_pow6.v: ASCII text data/verilator-4.038/test_regress/t/t_cover_line.vlt: ASCII text data/verilator-4.038/test_regress/t/t_param_scope_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_fuzz_genintf_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_randomize.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_interface_array.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_multi_io2_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_nofile_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vams_kwd_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_initial_edge_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_implication.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_string.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_const_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_wait.v: ASCII text data/verilator-4.038/test_regress/t/t_select_bad_range.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_inout.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_dtree_inlcd.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_vpi_1s10ns.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_signed2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_slice_part_select.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_optm_redor.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_preproc_kwd.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_blksync_loop.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_property_fail_2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_event.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_rand.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_cmtend_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_vpi_get.v: C source, ASCII text data/verilator-4.038/test_regress/t/t_trace_complex_params_fst.out: ASCII text data/verilator-4.038/test_regress/t/t_alw_split_rst.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_select_plusloop.v: ASCII text data/verilator-4.038/test_regress/t/t_var_rsvd.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_lib.v: ASCII text data/verilator-4.038/test_regress/t/t_prot_lib_inout_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_class_new.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_param_concat_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_dotted1.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_shift.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_altera_lpm_bustri.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_alw_combdly.v: ASCII text data/verilator-4.038/test_regress/t/t_dist_cinclude.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_increment_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_gantt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_extend_class.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_mnpipe.v: ASCII text data/verilator-4.038/test_regress/t/t_array_interface.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_xinitial_0.v: ASCII text data/verilator-4.038/test_regress/t/t_verilated_all_oldest.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_type_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_typedef_package.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_regfirst.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_public.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_result_type_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_vams_basic.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_string.v: ASCII text data/verilator-4.038/test_regress/t/t_func_dotted_inl1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_c_api.v: ASCII text data/verilator-4.038/test_regress/t/t_class_virtual.v: C++ source, ASCII text data/verilator-4.038/test_regress/t/t_vpi_module.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_queue.v: ASCII text data/verilator-4.038/test_regress/t/t_param_avec.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_gate_bufif1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_detectarray_3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_2d.v: ASCII text data/verilator-4.038/test_regress/t/t_repeat.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_slice_conc_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_port_sc.out: ASCII text data/verilator-4.038/test_regress/t/t_case_zx_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_first.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_in_inc_bad_1.vh: ASCII text data/verilator-4.038/test_regress/t/t_interface_ref_trace_inlab.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func.v: ASCII text data/verilator-4.038/test_regress/t/t_bitsel_enum.v: ASCII text data/verilator-4.038/test_regress/t/t_var_escape.out: ASCII text data/verilator-4.038/test_regress/t/t_math_equal.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_paramed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_package.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_signed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_pins_sc2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_pattern_unpacked.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_array2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_dotted_inl2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_file_scan.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_vpi_10ms10ns.out: ASCII text data/verilator-4.038/test_regress/t/t_timing_clkgen.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_complex_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_signed3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_width.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_unused_iface_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_file.v: ASCII text data/verilator-4.038/test_regress/t/t_param_width_loc_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dynarray_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_longname.v: ASCII text, with very long lines data/verilator-4.038/test_regress/t/t_inst_aport.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_func_dotted_inl1_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_types.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_multidriven_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_func_check.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_file_basic_mcd_test2_1.dat: ASCII text data/verilator-4.038/test_regress/t/t_package_export_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_pipe_filter_inc.vh: ASCII text data/verilator-4.038/test_regress/t/t_class_name.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_public_func_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fuzz_negwidth_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_unoptflat_simple.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_basic_cover.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_condflop.v: ASCII text data/verilator-4.038/test_regress/t/t_order_quad.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_sys_plusargs_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_pkg_colon_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_2exp_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_uniqueif_fail1.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_qw.v: ASCII text data/verilator-4.038/test_regress/t/t_case_genx_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_gen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_packed_value_list.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_param.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_var_assign_landr.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_select_loop.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_dtree_inlbd.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_red.v: ASCII text data/verilator-4.038/test_regress/t/t_EXAMPLE.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_gen_for_overlap.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_eqcase.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_ref_trace_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_task_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_case_66bits.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_cat_reopen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unpacked_concat_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_comp.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_slice_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_inc_notfound_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_svl2.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_circ_subst_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_wrong_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_typedef_unused_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_preproc_stringend_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlt_warn_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_inc_inc_bad.vh: ASCII text data/verilator-4.038/test_regress/t/t_display_real.out: JSON data data/verilator-4.038/test_regress/t/t_dpi_var.v: Java source, ASCII text data/verilator-4.038/test_regress/t/t_bitsel_enum.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_implicit_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_unpacked_public.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_primitive.v: ASCII text data/verilator-4.038/test_regress/t/t_math_signed4.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_setout_bad_noinl.out: ASCII text data/verilator-4.038/test_regress/t/t_unoptflat_simple_2.v: ASCII text data/verilator-4.038/test_regress/t/t_dist_contributors.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_dupdef_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_f_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_vpi_param.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_langext_4_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_invalid_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_under2.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_b_8.mem: ASCII text, with CRLF line terminators data/verilator-4.038/test_regress/t/t_flag_debug_noleak.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_recurse1.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_nest.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_input_eq_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_combo_isolate.vlt: ASCII text data/verilator-4.038/test_regress/t/t_sys_rand_seed.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_xref_gen.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_param_loop_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_concat_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_open_query.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_x.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_split_var_0.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_defparam.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_queue_unsup_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_math_msvc_64.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_preproc_noline.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_two_port_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_little_pack.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_unsized_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_pullup.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_complex_old_api.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unroll_forfor.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_undefineall.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_extends_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_assoc_meth_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_array_fst_portable.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_topmodule.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_rsvd_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_langext_order.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_extend_class.v: C source, ASCII text data/verilator-4.038/test_regress/t/t_wire_beh1364_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_first.v: ASCII text data/verilator-4.038/test_regress/t/t_var_bad_hide.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pipe_filter.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_var.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_vparam_unsup.v: C++ source, ASCII text data/verilator-4.038/test_regress/t/t_mem_iforder.v: ASCII text data/verilator-4.038/test_regress/t/t_func_const_packed_struct_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem_assoc_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_pow4.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem_assoc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_bad_range3.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_parent_scope_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_twod_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_upscope.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_dtree_inlc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_repeat.v: ASCII text data/verilator-4.038/test_regress/t/t_case_genx_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_case_itemwidth.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_scstruct.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_noop_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_event_control_unsup.out: ASCII text data/verilator-4.038/test_regress/t/t_var_escape.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_up_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_range.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_interface_array0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_types_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_pullvec_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_fuzz_eof_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlt_warn_bad.vlt: ASCII text data/verilator-4.038/test_regress/t/t_math_signed5.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_initial_dlyass.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_unsized_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_named.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_import_name_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_fuzz_triand_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_array.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen10.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_file_basic.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_signed.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_powerdn.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_topmodule_inline.v: ASCII text data/verilator-4.038/test_regress/t/t_param_type2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_writemem_b.gold2.mem: ASCII text data/verilator-4.038/test_regress/t/t_select_plus_mul_pow2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_uniqueif_fail4.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_tieout.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_wwarn_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_genblk.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_select.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_clk_concat2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_dotted_inl2.vlt: ASCII text data/verilator-4.038/test_regress/t/t_queue.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_file_basic_uz.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_name_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_div0.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_inst_implicit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_event_copy.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_modport.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_open_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_func_graphcirc.v: ASCII text data/verilator-4.038/test_regress/t/t_param_in_func_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_graphcirc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_implicit_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_param_in_func_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_preproc_noline.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mailbox.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unopt_converge_ndbg_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_unused_iface.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_compass_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_func.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_new_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_setout_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_defparen_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_timescale_default.v: ASCII text data/verilator-4.038/test_regress/t/t_prot_lib_secret.v: ASCII text data/verilator-4.038/test_regress/t/t_param_avec.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_type.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_vecgen3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_embed1_wrap.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_question.v: ASCII text data/verilator-4.038/test_regress/t/t_vams_basic.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_outp.v: ASCII text data/verilator-4.038/test_regress/t/t_param_bracket.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_const_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_array_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem_bad_digit.out: ASCII text data/verilator-4.038/test_regress/t/t_fuzz_genintf_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_udp_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_var_pins_sc_uint_biguint.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unconnected_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_pins_sc1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_comments.out: ASCII text data/verilator-4.038/test_regress/t/t_enum_public.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_tri_pull01.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_misarray_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_order_doubleloop.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_interface_array3.v: ASCII text data/verilator-4.038/test_regress/t/t_prot_lib_unpacked_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sv_cpu.v: ASCII text data/verilator-4.038/test_regress/t/t_genvar_misuse_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_math_pow.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_get_public_rw_switch.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_string.v: ASCII text data/verilator-4.038/test_regress/t/t_assoc_meth_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_enum_x_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_generate_fatal_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_nest.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_optm_if_array.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_mem_attr.v: ASCII text data/verilator-4.038/test_regress/t/t_func_grey.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_module.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_get.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_math_cond_huge.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_aport.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_slot.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_cond.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_nomod_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_class_param.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cover_line_cc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_repl.v: ASCII text data/verilator-4.038/test_regress/t/t_multitop1.v: ASCII text data/verilator-4.038/test_regress/t/t_param_module.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_open_query.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_gen_cond_bitrange_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_flip.v: ASCII text data/verilator-4.038/test_regress/t/t_langext_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_func.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_gate_array.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_name_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_math_pow.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_array_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_array_index_increment.v: ASCII text data/verilator-4.038/test_regress/t/t_mod_recurse.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_waiveroutput.out: ASCII text data/verilator-4.038/test_regress/t/t_mod_interface_array2_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_tree_inl1_pub0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_packed_struct_fst.out: ASCII text data/verilator-4.038/test_regress/t/t_order_multidriven.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_life.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_implicit_port.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_vecgen1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_writemem_b.gold5.mem: ASCII text data/verilator-4.038/test_regress/t/t_case_wild.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_slice_conc_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_gen_local.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vpi_sc.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_preproc_inc2.vh: ASCII text data/verilator-4.038/test_regress/t/t_func_dotted_inl0.vlt: ASCII text data/verilator-4.038/test_regress/t/t_func_unit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_interface_array3.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_unsup_deassign.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_const.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_mp_func.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_index.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_timescale_override2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_packed_sysfunct.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_alw_split_cond.v: ASCII text data/verilator-4.038/test_regress/t/t_metacmt_onoff.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_public_func.vlt: ASCII text data/verilator-4.038/test_regress/t/t_mem_slice.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_task_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_class_class.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_vpi_memory.v: C source, ASCII text data/verilator-4.038/test_regress/t/t_math_cmp.v: ASCII text data/verilator-4.038/test_regress/t/t_savable_class_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_array_nocolon.v: ASCII text data/verilator-4.038/test_regress/t/t_cdc_async_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_parameter_access.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_array_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_display.v: ASCII text data/verilator-4.038/test_regress/t/t_verilated_all.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_order_first.v: ASCII text data/verilator-4.038/test_regress/t/t_param_array2.v: ASCII text data/verilator-4.038/test_regress/t/t_queue_unsup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_top_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_detectarray_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_vpi_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_lint_realcvt_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_cc.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_enum_size.v: ASCII text data/verilator-4.038/test_regress/t/t_package_dimport.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_dpi_arg_output_type.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_overwide_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_clk_concat_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_noval_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_file.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_prot_lib_clk_gated.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_static.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_gen.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_mp_func_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_pindup_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_export_context_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_bad_sv.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_huge_sub.v: ASCII text data/verilator-4.038/test_regress/t/t_udp_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_public.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_compass_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_pp_pragma_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_slice_init.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_unsup_bad.v: C++ source, ASCII text data/verilator-4.038/test_regress/t/t_func_outp.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_array_nocolon_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_process.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_elab.v: ASCII text data/verilator-4.038/test_regress/t/t_real_param.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_relinc_dir/include/t_flag_relinc.vh: ASCII text data/verilator-4.038/test_regress/t/t_flag_relinc_dir/chip/t_flag_relinc_sub.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_size_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_struct_notfound_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_ena_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_circ_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_build_bad2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_lib.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dynarray_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_combo_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_lhs_oob2.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_interface.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_inc_notfound_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_bad_hide.v: ASCII text data/verilator-4.038/test_regress/t/t_vams_kwd_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_wideconst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_hdr_sc.out: ASCII text data/verilator-4.038/test_regress/t/t_var_dotted1_inl1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_param_array4.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_graph.v: ASCII text data/verilator-4.038/test_regress/t/t_xml_flat.out: XML 1.0 document, ASCII text data/verilator-4.038/test_regress/t/t_inst_recurse_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pipe_exit_bad.pf: Perl script text executable data/verilator-4.038/test_regress/t/t_past_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_void_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_array.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_dpi_imp_gen.v: ASCII text data/verilator-4.038/test_regress/t/t_math_shortreal_unsup_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_param1.v: ASCII text data/verilator-4.038/test_regress/t/t_uniqueif_fail3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_xml_output.v: ASCII text data/verilator-4.038/test_regress/t/t_array_type_methods.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_dumporder_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_dupdef_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_if_deep.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_vlcov_merge.out: data data/verilator-4.038/test_regress/t/t_time_vpi_1ns1ns.out: ASCII text data/verilator-4.038/test_regress/t/t_init_concat.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cast.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_extend.v: C source, ASCII text data/verilator-4.038/test_regress/t/t_trace_two_a.v: ASCII text data/verilator-4.038/test_regress/t/t_mem_multidim.v: ASCII text data/verilator-4.038/test_regress/t/t_uniqueif_fail1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_alw_split.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unoptflat_simple_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_sv.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unopt_combo_isolate_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_bad_sv.out: ASCII text data/verilator-4.038/test_regress/t/t_verilated_threaded.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_array_modport.v: ASCII text data/verilator-4.038/test_regress/t/t_var_pins_sc32.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_string.v: ASCII text data/verilator-4.038/test_regress/t/t_assoc_pattern_unsup.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_bad_addr.mem: ASCII text data/verilator-4.038/test_regress/t/t_flag_csplit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_first.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_set.v: ASCII text data/verilator-4.038/test_regress/t/TestVpi.h: C++ source, ASCII text data/verilator-4.038/test_regress/t/t_assert_synth_off.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_outfirst.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_flag_f.vc: ASCII text data/verilator-4.038/test_regress/t/t_static_elab.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_line_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_enum.v: ASCII text data/verilator-4.038/test_regress/t/t_langext_2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_foreach_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_cellarray.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_file_basic_mcd.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_synth_parallel.vlt: ASCII text data/verilator-4.038/test_regress/t/t_tri_dangle.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_for_loop.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_pragmas.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_modportlist.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_overzero.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_context_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_func_while.v: ASCII text data/verilator-4.038/test_regress/t/t_parse_delay.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_runtime_range.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_context.v: ASCII text data/verilator-4.038/test_regress/t/t_timescale_parse.v: ASCII text data/verilator-4.038/test_regress/t/t_class_param.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_debug_sigsegv_bt_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_bitsel_wire_array_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_stamp64.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_various.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_first.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_packed_concat.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_unpacked_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen6.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_fifo.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_format_wide_decimal.v: ASCII text data/verilator-4.038/test_regress/t/t_bitsel_slice.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_vpi_1ms10ns.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_concat_sel_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_package_enum.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_class_extends_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_slice.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_stats.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_if_deep.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_copy_bad.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_runflag_errorlimit.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_array_index_increment.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_66bits.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_bboxsys.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_v2k.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen10_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_infinite.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_stop_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_complex.v: ASCII text data/verilator-4.038/test_regress/t/t_timing_long.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_package_twodeep.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_unopt_combo_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_param_first_a.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_comb_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_package_export.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_alw_split_rst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_preproc_noline.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_off_sc.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_time.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_const_dec_mixed_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dist_portability.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_down_inlb.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_timescale.v: ASCII text data/verilator-4.038/test_regress/t/t_array_compare.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unopt_converge_print_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_assert_elab.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_var_ref_bad1.out: ASCII text data/verilator-4.038/test_regress/t/t_event_copy.out: ASCII text data/verilator-4.038/test_regress/t/t_table_fsm.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_width_genfor_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_wire_beh1800_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_dotted2_inl0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_bad_width.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_width_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_var_bad_hide2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_alw_noreorder.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_wide.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_onehot.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_unopt_combo_waive.out: empty data/verilator-4.038/test_regress/t/t_struct_anon.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pp_defkwd_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_param_value.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_wrong_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_array_fst.out: ASCII text, with very long lines data/verilator-4.038/test_regress/t/t_func_under.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_comma_inl0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_unused_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_select_bad_range2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_once_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_mod_interface_array0_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem_bad_addr.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_rand_seed.v: ASCII text data/verilator-4.038/test_regress/t/t_waiveroutput_allgood.vlt: ASCII text data/verilator-4.038/test_regress/t/t_trace_fst.out: ASCII text data/verilator-4.038/test_regress/t/t_param_sel_range.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_open.v: ASCII text data/verilator-4.038/test_regress/t/t_sys_readmem_bad_digit.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_errorlimit_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_alw_dly.v: ASCII text data/verilator-4.038/test_regress/t/t_fuzz_triand_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_func_const3_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_inst_ccall.v: ASCII text data/verilator-4.038/test_regress/t/t_fork_func_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_shiftreg.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem_assoc_w_h.out: ASCII text data/verilator-4.038/test_regress/t/t_gen_for2.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_abort.v: ASCII text data/verilator-4.038/test_regress/t/t_alias_unsup.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_public_sig.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_table_fsm.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_dpi_2exp_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_param_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_latch_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_enum_name2.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_lint_repeat_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_cat_reopen_0000.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_unused_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_member_bad.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_dpi_openfirst_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_class_enum.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_leak.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_class_virtual_pure.v: C++ source, ASCII text data/verilator-4.038/test_regress/t/t_lint_infinite.out: ASCII text data/verilator-4.038/test_regress/t/t_unopt_converge_print_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_a3_selftest.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_pow2.v: ASCII text data/verilator-4.038/test_regress/t/t_func_paramed.v: ASCII text data/verilator-4.038/test_regress/t/t_castdyn_bbox.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_display_signed.out: JSON data data/verilator-4.038/test_regress/t/t_interface_gen5.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unopt_combo_isolate.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_complex_params_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_gate_cond.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_inout.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_embed1_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_case_wild.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_dups.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_threads_nondeterminism.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlcov_merge.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_two_dumpfst_cc.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_incabspath.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_crazy_sel.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_blksync_loop.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_array.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_math_vgen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cellarray.v: ASCII text data/verilator-4.038/test_regress/t/t_class_method_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_wwarn_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_vlcov_nfound_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_interface_array2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_invalid2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_foreach_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_tri_array_pull.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_lint_syncasyncnet_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_nest_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_param_another_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_vpi_module.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_func_lib_sub.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_assoc_wildcard_unsup.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_timescale.v: ASCII text data/verilator-4.038/test_regress/t/t_final.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_orig.v: ASCII text data/verilator-4.038/test_regress/t/t_func_wide_out_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_lhs_oob2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_protect_ids_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_var_ref_bad3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_initial_edge.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_basic_fail.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_powerdn.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_bad_hide.v: ASCII text data/verilator-4.038/test_regress/t/t_initial.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_struct_param.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_class2.out: ASCII text data/verilator-4.038/test_regress/t/t_embed1_child.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_line_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_lint_always_comb_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_var_types.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_plog.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gate_fdup.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_incabspath_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_alw_reorder.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_skipidentical.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_const.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_large_methods.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_wire_behp1800_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_const_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_struct_unpacked_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_case_write1.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_debug_noleak.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_ldflags_a.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_randomize.out: ASCII text data/verilator-4.038/test_regress/t/t_tri_gate.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_flag_noop_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_slice_init.v: ASCII text data/verilator-4.038/test_regress/t/t_math_svl2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_langext_3_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_signed2.v: ASCII text data/verilator-4.038/test_regress/t/t_debug_fatalsrc_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_virtual.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_mnpipe.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_plusargs_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_vpi_1ms10ns.out: ASCII text data/verilator-4.038/test_regress/t/t_mod_interface_array1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_wire_behp1364_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_vpi_time_cb.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_pp_defkwd_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_inside_cond_bad.out: JSON data data/verilator-4.038/test_regress/t/t_math_pick.v: ASCII text data/verilator-4.038/test_regress/t/t_debug_exit_parse.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_swap.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_func_named.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_param_loop_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_assign.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_typo_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen.v: ASCII text data/verilator-4.038/test_regress/t/t_math_shift_over_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_cover_sva_trace.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_trace_packed_struct_fst.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_uniqueif_fail2.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_time_sc_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_cdc_async_bad.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_gate_array.v: ASCII text data/verilator-4.038/test_regress/t/t_display_merge.v: ASCII text data/verilator-4.038/test_regress/t/t_concat_link_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_sv_conditional.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_gen6_noinl.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_altera_lpm_inv.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_vliw.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_public_sig.vlt: ASCII text data/verilator-4.038/test_regress/t/t_clk_first_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_udp.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_strwidth.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_wfatal.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_case_x_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_latch_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_timescale_override2.out: ASCII text data/verilator-4.038/test_regress/t/t_order_b.v: ASCII text data/verilator-4.038/test_regress/t/t_pp_line_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_tri_array_pull.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_asvar_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_select_little_pack.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_subout_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_verilated_all.v: ASCII text data/verilator-4.038/test_regress/t/t_case_huge_sub4.v: ASCII text data/verilator-4.038/test_regress/t/t_math_countbits.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_var_rsvd_port.v: ASCII text data/verilator-4.038/test_regress/t/t_class_package.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_param_wide_io.v: ASCII text data/verilator-4.038/test_regress/t/t_number_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_blocking.v: ASCII text data/verilator-4.038/test_regress/t/t_assert_synth_full_vlt.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_vlcov_rank.out: ASCII text data/verilator-4.038/test_regress/t/t_trace_timescale.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_array_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_slice_dtype_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_bug3180.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_clk_scope_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_repeat_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_clk_gate_ext.v: ASCII text data/verilator-4.038/test_regress/t/t_alw_nosplit.v: ASCII text data/verilator-4.038/test_regress/t/t_package_ddecl.v: Perl5 module source, ASCII text data/verilator-4.038/test_regress/t/t_math_arith.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_topmod2_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_trace_cat_reopen_0100.out: ASCII text data/verilator-4.038/test_regress/t/t_dpi_open.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assoc_meth_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_bad_hide.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_readmem.v: ASCII text data/verilator-4.038/test_regress/t/t_package_dimport.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_split_var_0.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_gen.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_interface_down.v: ASCII text data/verilator-4.038/test_regress/t/t_flag_nomod_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_class_vparam_unsup.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_assert_property_fail_1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_open_query.v: ASCII text data/verilator-4.038/test_regress/t/t_math_cond_huge.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_dpi_result_type.out: ASCII text data/verilator-4.038/test_regress/t/t_sys_file_scan.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_bsspace_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_altera_lpm_add_sub.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mod_recurse1.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_class_static_order.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_dtree_inlb.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_math_pow3.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_enum_func.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_inst_misarray2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_lint_declfilename_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_pipe_exit_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_tie_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_assign_inline.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_flag_ldflags_c.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_gen_inc.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_import_name2_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_enum_recurse_bad.v: ASCII text data/verilator-4.038/test_regress/t/t_dpi_result_type.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_lint_width.v: ASCII text data/verilator-4.038/test_regress/t/t_interface_arraymux.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_select_bad_tri.out: ASCII text data/verilator-4.038/test_regress/t/t_inside_wild.v: Ruby script, ASCII text data/verilator-4.038/test_regress/t/t_flag_timescale_override.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_unroll_complexcond.v: ASCII text data/verilator-4.038/test_regress/t/t_lint_implicit.v: ASCII text data/verilator-4.038/test_regress/t/t_class_new_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_cover_line_trace.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_gen_upscope.v: ASCII text data/verilator-4.038/test_regress/t/t_inst_v2k.v: ASCII text data/verilator-4.038/test_regress/t/t_optm_if_array.v: ASCII text data/verilator-4.038/test_regress/t/t_unopt_converge_initial_run_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_flag_ldflags.v: Java source, ASCII text data/verilator-4.038/test_regress/t/t_wire_types.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_const_packed_array_bad.out: ASCII text data/verilator-4.038/test_regress/t/t_interface_twod.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_mem_multi_io3.cpp: C source, ASCII text data/verilator-4.038/test_regress/t/t_unoptflat_simple_2_bad.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_func_types.pl: Perl script text executable data/verilator-4.038/test_regress/t/t_sys_file_basic_mcd_test2_2.dat: ASCII text data/verilator-4.038/test_regress/input.xsim.vc: ASCII text data/verilator-4.038/Makefile.in: automake makefile script, ASCII text data/verilator-4.038/.gitignore: ASCII text data/verilator-4.038/.gitattributes: ASCII text data/verilator-4.038/Artistic: ASCII text data/verilator-4.038/install-sh: POSIX shell script, ASCII text executable data/verilator-4.038/verilator.html: XML 1.0 document, ASCII text, with very long lines data/verilator-4.038/bin/verilator: Perl script text executable data/verilator-4.038/bin/verilator_coverage: Perl script text executable data/verilator-4.038/bin/verilator_difftree: Perl script text executable data/verilator-4.038/bin/verilator_gantt: Perl script text executable data/verilator-4.038/bin/verilator_includer: Perl script text executable data/verilator-4.038/bin/verilator_profcfunc: Perl script text executable data/verilator-4.038/src/V3Number_test.cpp: C source, ASCII text data/verilator-4.038/src/V3Descope.h: C++ source, ASCII text data/verilator-4.038/src/V3Undriven.h: C++ source, ASCII text data/verilator-4.038/src/V3FileLine.h: C++ source, ASCII text data/verilator-4.038/src/V3PartitionGraph.h: C++ source, ASCII text data/verilator-4.038/src/V3Inline.h: C++ source, ASCII text data/verilator-4.038/src/V3Begin.h: C++ source, ASCII text data/verilator-4.038/src/V3Subst.cpp: C++ source, ASCII text data/verilator-4.038/src/V3LinkLevel.h: C++ source, ASCII text data/verilator-4.038/src/V3Subst.h: C++ source, ASCII text data/verilator-4.038/src/V3Stats.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Order.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Delayed.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Cast.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Life.h: C++ source, ASCII text data/verilator-4.038/src/V3Life.cpp: C++ source, ASCII text data/verilator-4.038/src/V3GraphPathChecker.h: C++ source, ASCII text data/verilator-4.038/src/V3Hashed.h: C++ source, ASCII text data/verilator-4.038/src/V3Number.h: C++ source, ASCII text data/verilator-4.038/src/V3GenClk.cpp: C++ source, ASCII text data/verilator-4.038/src/V3EmitCSyms.cpp: C source, ASCII text data/verilator-4.038/src/V3AstNodes.cpp: C source, ASCII text data/verilator-4.038/src/V3EmitCInlines.cpp: C++ source, ASCII text data/verilator-4.038/src/V3PreProc.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Active.cpp: C++ source, ASCII text data/verilator-4.038/src/V3TraceDecl.h: C++ source, ASCII text data/verilator-4.038/src/V3Name.cpp: C++ source, ASCII text data/verilator-4.038/src/V3GraphAlg.h: C++ source, ASCII text data/verilator-4.038/src/V3LinkCells.cpp: C++ source, ASCII text data/verilator-4.038/src/astgen: Perl script text executable data/verilator-4.038/src/V3AstConstOnly.h: C source, ASCII text data/verilator-4.038/src/V3EmitV.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Config.cpp: C++ source, ASCII text data/verilator-4.038/src/V3SenTree.h: C++ source, ASCII text data/verilator-4.038/src/V3LinkLevel.cpp: C source, ASCII text data/verilator-4.038/src/verilog.l: C source, ASCII text data/verilator-4.038/src/V3AstNodes.h: C++ source, ASCII text data/verilator-4.038/src/V3Width.h: C++ source, ASCII text data/verilator-4.038/src/V3CoverageJoin.h: C++ source, ASCII text data/verilator-4.038/src/V3Ast.h: C++ source, ASCII text data/verilator-4.038/src/V3Assert.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Error.h: C++ source, ASCII text data/verilator-4.038/src/V3Const.cpp: C++ source, ASCII text data/verilator-4.038/src/V3DepthBlock.h: C++ source, ASCII text data/verilator-4.038/src/V3PreShell.h: C++ source, ASCII text data/verilator-4.038/src/V3Hashed.cpp: C++ source, ASCII text data/verilator-4.038/src/V3EmitXml.cpp: C++ source, ASCII text data/verilator-4.038/src/V3LinkJump.cpp: C++ source, ASCII text data/verilator-4.038/src/V3String.h: C++ source, ASCII text data/verilator-4.038/src/V3EmitXml.h: C++ source, ASCII text data/verilator-4.038/src/config_build.h.in: C++ source, ASCII text data/verilator-4.038/src/V3MergeCond.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Case.h: C++ source, ASCII text data/verilator-4.038/src/V3Graph.h: C++ source, ASCII text data/verilator-4.038/src/V3Case.cpp: C++ source, ASCII text data/verilator-4.038/src/V3EmitCMain.h: C++ source, ASCII text data/verilator-4.038/src/V3Tristate.cpp: C++ source, ASCII text data/verilator-4.038/src/V3InstrCount.cpp: C++ source, ASCII text data/verilator-4.038/src/V3EmitCMain.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Undriven.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Unroll.cpp: C++ source, ASCII text data/verilator-4.038/src/VlcSource.h: C++ source, ASCII text data/verilator-4.038/src/V3Os.h: C++ source, ASCII text data/verilator-4.038/src/V3SplitVar.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Premit.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Clean.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Dead.cpp: C++ source, ASCII text data/verilator-4.038/src/V3LinkInc.cpp: C++ source, ASCII text data/verilator-4.038/src/.gdbinit: ASCII text data/verilator-4.038/src/V3Name.h: C++ source, ASCII text data/verilator-4.038/src/V3LinkLValue.h: C++ source, ASCII text data/verilator-4.038/src/V3LinkCells.h: C++ source, ASCII text data/verilator-4.038/src/V3Split.cpp: C++ source, ASCII text data/verilator-4.038/src/V3LinkDot.cpp: C++ source, ASCII text data/verilator-4.038/src/V3ProtectLib.h: C++ source, ASCII text data/verilator-4.038/src/V3Unknown.cpp: C++ source, ASCII text data/verilator-4.038/src/V3ActiveTop.h: C++ source, ASCII text data/verilator-4.038/src/V3Table.h: C++ source, ASCII text data/verilator-4.038/src/config_rev.h: ASCII text data/verilator-4.038/src/V3String.cpp: C source, ASCII text data/verilator-4.038/src/V3Const.h: C++ source, ASCII text data/verilator-4.038/src/V3Scope.h: C++ source, ASCII text data/verilator-4.038/src/config_build.h: C++ source, ASCII text data/verilator-4.038/src/V3Begin.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Clock.h: C++ source, ASCII text data/verilator-4.038/src/V3Branch.h: C++ source, ASCII text data/verilator-4.038/src/V3Ast.cpp: C source, ASCII text data/verilator-4.038/src/V3Localize.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Reloop.h: C++ source, ASCII text data/verilator-4.038/src/pod2latexfix: Perl script text executable data/verilator-4.038/src/V3InstrCount.h: C++ source, ASCII text data/verilator-4.038/src/V3CCtors.cpp: C++ source, ASCII text data/verilator-4.038/src/V3EmitC.h: C++ source, ASCII text data/verilator-4.038/src/V3MergeCond.h: C++ source, ASCII text data/verilator-4.038/src/V3LinkDot.h: C++ source, ASCII text data/verilator-4.038/src/V3SymTable.h: C++ source, ASCII text data/verilator-4.038/src/V3GraphDfa.h: C++ source, ASCII text data/verilator-4.038/src/flexfix: Perl script text executable data/verilator-4.038/src/V3CoverageJoin.cpp: C++ source, ASCII text data/verilator-4.038/src/V3CCtors.h: C++ source, ASCII text data/verilator-4.038/src/V3ParseLex.cpp: C++ source, ASCII text data/verilator-4.038/src/V3StatsReport.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Reloop.cpp: C++ source, ASCII text data/verilator-4.038/src/V3GraphAcyc.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Dead.h: C++ source, ASCII text data/verilator-4.038/src/V3Localize.h: C++ source, ASCII text data/verilator-4.038/src/V3LinkLValue.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Table.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Slice.h: C++ source, ASCII text data/verilator-4.038/src/VlcPoint.h: C++ source, ASCII text data/verilator-4.038/src/V3Active.h: C++ source, ASCII text data/verilator-4.038/src/V3LifePost.h: C++ source, ASCII text data/verilator-4.038/src/VlcTop.h: C++ source, ASCII text data/verilator-4.038/src/V3Waiver.cpp: C source, ASCII text data/verilator-4.038/src/V3GenClk.h: C++ source, ASCII text data/verilator-4.038/src/V3LanguageWords.h: C++ source, ASCII text data/verilator-4.038/src/vlcovgen: Perl script text executable data/verilator-4.038/src/Makefile.in: ASCII text data/verilator-4.038/src/V3Split.h: C++ source, ASCII text data/verilator-4.038/src/V3List.h: C++ source, ASCII text data/verilator-4.038/src/.gitignore: ASCII text data/verilator-4.038/src/V3Expand.cpp: C++ source, ASCII text data/verilator-4.038/src/cppcheck_filtered: Perl script text executable data/verilator-4.038/src/V3Trace.h: C++ source, ASCII text data/verilator-4.038/src/V3Error.cpp: C source, ASCII text data/verilator-4.038/src/V3Order.h: C++ source, ASCII text data/verilator-4.038/src/V3FileLine.cpp: C source, ASCII text data/verilator-4.038/src/V3PreProc.h: C++ source, ASCII text data/verilator-4.038/src/V3PreLex.h: C++ source, ASCII text data/verilator-4.038/src/V3Scoreboard.h: C++ source, ASCII text data/verilator-4.038/src/VlcMain.cpp: C source, ASCII text data/verilator-4.038/src/V3Os.cpp: C source, ASCII text data/verilator-4.038/src/V3Broken.h: C++ source, ASCII text data/verilator-4.038/src/V3Task.cpp: C++ source, ASCII text data/verilator-4.038/src/VlcBucket.h: C++ source, ASCII text data/verilator-4.038/src/V3ParseImp.cpp: C source, ASCII text data/verilator-4.038/src/V3Gate.h: C++ source, ASCII text data/verilator-4.038/src/V3Options.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Config.h: C++ source, ASCII text data/verilator-4.038/src/V3Clean.h: C++ source, ASCII text data/verilator-4.038/src/V3LangCode.h: C++ source, ASCII text data/verilator-4.038/src/V3Parse.h: C++ source, ASCII text data/verilator-4.038/src/V3Waiver.h: C++ source, ASCII text data/verilator-4.038/src/V3TSP.cpp: C++ source, ASCII text data/verilator-4.038/src/V3EmitC.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Assert.h: C++ source, ASCII text data/verilator-4.038/src/V3Param.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Param.h: C++ source, ASCII text data/verilator-4.038/src/V3Unroll.h: C++ source, ASCII text data/verilator-4.038/src/bisonpre: Perl script text executable data/verilator-4.038/src/V3Depth.h: C++ source, ASCII text data/verilator-4.038/src/V3WidthCommit.h: C++ source, ASCII text data/verilator-4.038/src/V3LinkParse.h: C++ source, ASCII text data/verilator-4.038/src/VlcOptions.h: C++ source, ASCII text data/verilator-4.038/src/V3LifePost.cpp: C++ source, ASCII text data/verilator-4.038/src/V3PreShell.cpp: C++ source, ASCII text data/verilator-4.038/src/V3OrderGraph.h: C++ source, ASCII text data/verilator-4.038/src/V3GraphTest.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Cdc.h: C++ source, ASCII text data/verilator-4.038/src/V3EmitCMake.h: C++ source, ASCII text data/verilator-4.038/src/V3LinkInc.h: C++ source, ASCII text data/verilator-4.038/src/V3DepthBlock.cpp: C++ source, ASCII text data/verilator-4.038/src/V3ParseGrammar.cpp: C source, ASCII text data/verilator-4.038/src/V3Tristate.h: C++ source, ASCII text data/verilator-4.038/src/V3Scoreboard.cpp: C++ source, ASCII text data/verilator-4.038/src/V3File.h: C++ source, ASCII text data/verilator-4.038/src/V3GraphStream.h: C++ source, ASCII text data/verilator-4.038/src/V3Changed.h: C++ source, ASCII text data/verilator-4.038/src/V3ParseImp.h: C++ source, ASCII text data/verilator-4.038/src/Verilator.cpp: C source, ASCII text data/verilator-4.038/src/V3Cdc.cpp: C++ source, ASCII text data/verilator-4.038/src/V3SplitAs.h: C++ source, ASCII text data/verilator-4.038/src/V3Combine.cpp: C++ source, ASCII text data/verilator-4.038/src/V3LinkResolve.h: C++ source, ASCII text data/verilator-4.038/src/V3Width.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Stats.h: C++ source, ASCII text data/verilator-4.038/src/V3Changed.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Scope.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Gate.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Inline.cpp: C++ source, ASCII text data/verilator-4.038/src/V3CUse.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Slice.cpp: C source, ASCII text data/verilator-4.038/src/V3LinkJump.h: C++ source, ASCII text data/verilator-4.038/src/V3SplitAs.cpp: C++ source, ASCII text data/verilator-4.038/src/VlcTest.h: C++ source, ASCII text data/verilator-4.038/src/V3Task.h: C++ source, ASCII text data/verilator-4.038/src/config_rev.pl: Perl script text executable data/verilator-4.038/src/V3Trace.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Options.h: C++ source, ASCII text data/verilator-4.038/src/V3ParseSym.h: C++ source, ASCII text data/verilator-4.038/src/V3Partition.cpp: C++ source, ASCII text data/verilator-4.038/src/V3LinkParse.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Inst.h: C++ source, ASCII text data/verilator-4.038/src/V3Class.h: C++ source, ASCII text data/verilator-4.038/src/V3Coverage.h: C++ source, ASCII text data/verilator-4.038/src/V3Clock.cpp: C++ source, ASCII text data/verilator-4.038/src/V3ProtectLib.cpp: C++ source, ASCII text data/verilator-4.038/src/V3AssertPre.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Broken.cpp: C++ source, ASCII text data/verilator-4.038/src/V3AssertPre.h: C++ source, ASCII text data/verilator-4.038/src/V3EmitCMake.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Number.cpp: C source, ASCII text data/verilator-4.038/src/V3CUse.h: C++ source, ASCII text data/verilator-4.038/src/V3Premit.h: C++ source, ASCII text data/verilator-4.038/src/V3Delayed.h: C++ source, ASCII text data/verilator-4.038/src/V3Unknown.h: C++ source, ASCII text data/verilator-4.038/src/V3Cast.h: C++ source, ASCII text data/verilator-4.038/src/V3Partition.h: C++ source, ASCII text data/verilator-4.038/src/V3TSP.h: C++ source, ASCII text data/verilator-4.038/src/V3GraphDfa.cpp: C++ source, ASCII text data/verilator-4.038/src/V3EmitMk.h: C++ source, ASCII text data/verilator-4.038/src/V3Combine.h: C++ source, ASCII text data/verilator-4.038/src/V3Coverage.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Graph.cpp: C source, ASCII text data/verilator-4.038/src/mkinstalldirs: POSIX shell script, ASCII text executable data/verilator-4.038/src/V3Global.cpp: C source, ASCII text data/verilator-4.038/src/V3TraceDecl.cpp: C++ source, ASCII text data/verilator-4.038/src/V3SplitVar.h: C++ source, ASCII text data/verilator-4.038/src/V3LinkResolve.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Global.h: C++ source, ASCII text data/verilator-4.038/src/V3ActiveTop.cpp: C++ source, ASCII text data/verilator-4.038/src/V3WidthSel.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Class.cpp: C++ source, ASCII text data/verilator-4.038/src/V3File.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Expand.h: C++ source, ASCII text data/verilator-4.038/src/V3EmitMk.cpp: C++ source, ASCII text data/verilator-4.038/src/Makefile_obj.in: makefile script, ASCII text data/verilator-4.038/src/V3Branch.cpp: C++ source, ASCII text data/verilator-4.038/src/V3PreLex.l: C source, ASCII text data/verilator-4.038/src/V3EmitV.h: C++ source, ASCII text data/verilator-4.038/src/V3Descope.cpp: C++ source, ASCII text data/verilator-4.038/src/V3EmitCBase.h: C++ source, ASCII text data/verilator-4.038/src/V3Depth.cpp: C++ source, ASCII text data/verilator-4.038/src/V3Simulate.h: C++ source, ASCII text data/verilator-4.038/src/V3Inst.cpp: C++ source, ASCII text data/verilator-4.038/src/VlcTop.cpp: C source, ASCII text data/verilator-4.038/src/V3GraphPathChecker.cpp: C source, ASCII text data/verilator-4.038/src/V3GraphAlg.cpp: C++ source, ASCII text data/verilator-4.038/src/verilog.y: C++ source, ASCII text data/verilator-4.038/configure.ac: M4 macro processor script, ASCII text data/verilator-4.038/LICENSE: ASCII text data/verilator-4.038/examples/xml_py/vl_hier_graph: Python script, ASCII text executable data/verilator-4.038/examples/xml_py/Makefile: ASCII text data/verilator-4.038/examples/xml_py/.gitignore: ASCII text data/verilator-4.038/examples/xml_py/sub.v: ASCII text data/verilator-4.038/examples/xml_py/top.v: ASCII text data/verilator-4.038/examples/xml_py/vl_file_copy: Python script, ASCII text executable data/verilator-4.038/examples/make_hello_c/Makefile: ASCII text data/verilator-4.038/examples/make_hello_c/sim_main.cpp: C source, ASCII text data/verilator-4.038/examples/make_hello_c/.gitignore: ASCII text data/verilator-4.038/examples/make_hello_c/top.v: ASCII text data/verilator-4.038/examples/cmake_tracing_sc/Makefile: ASCII text data/verilator-4.038/examples/cmake_tracing_sc/.gitignore: ASCII text data/verilator-4.038/examples/cmake_tracing_sc/CMakeLists.txt: ASCII text data/verilator-4.038/examples/make_tracing_c/Makefile_obj: ASCII text data/verilator-4.038/examples/make_tracing_c/input.vc: ASCII text data/verilator-4.038/examples/make_tracing_c/Makefile: ASCII text data/verilator-4.038/examples/make_tracing_c/sim_main.cpp: C source, ASCII text data/verilator-4.038/examples/make_tracing_c/.gitignore: ASCII text data/verilator-4.038/examples/make_tracing_c/sub.v: ASCII text data/verilator-4.038/examples/make_tracing_c/top.v: ASCII text data/verilator-4.038/examples/make_protect_lib/Makefile: ASCII text data/verilator-4.038/examples/make_protect_lib/sim_main.cpp: C source, ASCII text data/verilator-4.038/examples/make_protect_lib/secret_impl.v: ASCII text data/verilator-4.038/examples/make_protect_lib/.gitignore: ASCII text data/verilator-4.038/examples/make_protect_lib/top.v: ASCII text data/verilator-4.038/examples/make_tracing_sc/sc_main.cpp: C source, ASCII text data/verilator-4.038/examples/make_tracing_sc/Makefile_obj: ASCII text data/verilator-4.038/examples/make_tracing_sc/input.vc: ASCII text data/verilator-4.038/examples/make_tracing_sc/Makefile: ASCII text data/verilator-4.038/examples/make_tracing_sc/.gitignore: ASCII text data/verilator-4.038/examples/make_tracing_sc/sub.v: ASCII text data/verilator-4.038/examples/make_tracing_sc/top.v: ASCII text data/verilator-4.038/examples/cmake_hello_c/Makefile: ASCII text data/verilator-4.038/examples/cmake_hello_c/.gitignore: ASCII text data/verilator-4.038/examples/cmake_hello_c/CMakeLists.txt: ASCII text data/verilator-4.038/examples/make_hello_sc/sc_main.cpp: C source, ASCII text data/verilator-4.038/examples/make_hello_sc/Makefile: ASCII text data/verilator-4.038/examples/make_hello_sc/.gitignore: ASCII text data/verilator-4.038/examples/make_hello_sc/top.v: ASCII text data/verilator-4.038/examples/cmake_hello_sc/Makefile: ASCII text data/verilator-4.038/examples/cmake_hello_sc/.gitignore: ASCII text data/verilator-4.038/examples/cmake_hello_sc/CMakeLists.txt: ASCII text data/verilator-4.038/examples/cmake_tracing_c/Makefile: ASCII text data/verilator-4.038/examples/cmake_tracing_c/.gitignore: ASCII text data/verilator-4.038/examples/cmake_tracing_c/CMakeLists.txt: ASCII text data/verilator-4.038/examples/cmake_protect_lib/Makefile: ASCII text data/verilator-4.038/examples/cmake_protect_lib/.gitignore: ASCII text data/verilator-4.038/examples/cmake_protect_lib/CMakeLists.txt: ASCII text data/verilator-4.038/verilator-config-version.cmake.in: ASCII text data/verilator-4.038/docs/doxygen-mainpage: LaTeX document, ASCII text data/verilator-4.038/docs/internals.adoc: ASCII text data/verilator-4.038/docs/CONTRIBUTING.adoc: ASCII text data/verilator-4.038/docs/CONTRIBUTORS: UTF-8 Unicode text data/verilator-4.038/docs/Makefile.in: ASCII text data/verilator-4.038/docs/.gitignore: ASCII text data/verilator-4.038/docs/doxygen.config: ASCII text data/verilator-4.038/docs/xml.adoc: ASCII text data/verilator-4.038/docs/install.adoc: ASCII text data/verilator-4.038/docs/TODO: C source, ASCII text data/verilator-4.038/docs/verilator_logo.png: PNG image data, 1050 x 840, 8-bit colormap, non-interlaced data/verilator-4.038/verilator.pdf: PDF document, version 1.5 data/verilator-4.038/Changes: UTF-8 Unicode text data/verilator-4.038/debian/changelog: UTF-8 Unicode text data/verilator-4.038/debian/control: UTF-8 Unicode text data/verilator-4.038/debian/copyright: UTF-8 Unicode text data/verilator-4.038/debian/doc-base: ASCII text data/verilator-4.038/debian/docs: ASCII text data/verilator-4.038/debian/gitlab-ci.yml: ASCII text data/verilator-4.038/debian/links: ASCII text data/verilator-4.038/debian/patches/bison-3.7.patch: unified diff output, ASCII text data/verilator-4.038/debian/patches/interpreter.patch: unified diff output, UTF-8 Unicode text data/verilator-4.038/debian/patches/pkgconfig.diff: unified diff output, UTF-8 Unicode text data/verilator-4.038/debian/patches/reproducible_build.diff: unified diff output, ASCII text data/verilator-4.038/debian/patches/series: ASCII text data/verilator-4.038/debian/rules: a /usr/bin/make -f script, ASCII text executable data/verilator-4.038/debian/source/format: ASCII text data/verilator-4.038/debian/upstream/metadata: ASCII text data/verilator-4.038/debian/watch: ASCII text data/verilator-4.038/.pc/.version: ASCII text data/verilator-4.038/.pc/.quilt_patches: ASCII text data/verilator-4.038/.pc/.quilt_series: ASCII text data/verilator-4.038/.pc/applied-patches: ASCII text data/verilator-4.038/.pc/pkgconfig.diff/verilator.pc.in: ASCII text data/verilator-4.038/.pc/reproducible_build.diff/include/verilated_vcd_c.cpp: C source, ASCII text data/verilator-4.038/.pc/interpreter.patch/bin/verilator: Perl script text executable data/verilator-4.038/.pc/interpreter.patch/bin/verilator_coverage: Perl script text executable data/verilator-4.038/.pc/interpreter.patch/bin/verilator_difftree: Perl script text executable data/verilator-4.038/.pc/interpreter.patch/bin/verilator_gantt: Perl script text executable data/verilator-4.038/.pc/interpreter.patch/bin/verilator_includer: Perl script text executable data/verilator-4.038/.pc/interpreter.patch/bin/verilator_profcfunc: Perl script text executable data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y: C++ source, ASCII text data/verilator-4.038/verilator.pc.in: ASCII text